Eigener DUV-Scanner: China soll Lithografiesystem für 8-nm-Chips entwickelt haben

Volker Rißka
124 Kommentare
Eigener DUV-Scanner: China soll Lithografiesystem für 8-nm-Chips entwickelt haben
Bild: SinoDefenceForum

Ohne ASML-Systeme geht in Chinas Chipfertigung bisher nichts. Das soll sich langfristig ändern, eventuell gibt es nun einen ersten Erfolg, nachdem viele Institute und Firmen auf Drängen der Regierung eine Unmenge an Zeit und Geld investiert haben. Das neue System könnte demnach 300-mm-Wafer mit 8-nm-Chips fertigen.

Die Faktenlage ist noch ziemlich dünn, Informationen aus China zudem schwer einzuschätzen. Sofern es stimmt, wäre dies aber ein sehr großer Schritt für China. Denn bisher hinkte der Staat „dem Westen“ im Bereich der Technologien, die für eine moderne Chipfertigung vorausgesetzt werden, um viele Jahre hinterher. Denn im echten produktiven Einsatz waren eigene Lithografiesysteme bis dato nur bis zu einer Fertigungsstufe von 90 nm.

Im letzten Jahr kamen dann Meldungen auf, dass es nun eventuell auch bald 28-nm-Chips mit eigener Technologie geben könnte. Doch auf die Meldungen, die zum Teil auch bereits drei Jahre alt waren, kehrte erst einmal wieder Ruhe ein.

Ein (zeitlich) passender Ersatz für ASML?

Nun soll es den gleichen beteiligten Unternehmen, allen voran Shanghai Micro Electronics Equipment (SMEE) und Advanced Micro-Fabrication Equipment Inc. (AMEC), angeblich gelungen sein, eine Maschine zu bauen, die 8-nm-Chips hervorbringen kann. Wie staatliche chinesische Medien berichten, führt das Ministry of Industry and Information Technology (MIIT) in der aktuellen Ausgabe des Guiding Catalog for the Promotion and Application of Major Technical Equipment (2024 Edition) eine solche Apparatur. Dabei soll es sich um einen sogenannten Dry-ArF-Scanner mit 193-nm-Lichtquelle handeln, der mit Hilfe von Multi-Patterning, also Mehrfachbelichtungen, Chips mit diesen kleinen Strukturen hervorbringen kann.

Damit hätten die Firmen einen gewaltigen Satz nach vorn gemacht, wären aber natürlich noch lange nicht so weit, wie die fortschrittlichen Lösungen von ASML. Da der Massenmarkt aber ohnehin eher Chips von 14 bis 28 nm oder größer benötigt, wäre es trotzdem ein großer Erfolg. Er wäre insbesondere zeitlich passend, da weitere DUV-Geräte von ASML, die exakt diesen Bereich abdecken könnten, für den Export nach China verboten werden sollen.

Für eine Chipfertigung braucht es mehr

Doch nur mit einer Lithografiemaschine im Stile der von ASML ist es natürlich nicht getan, um einen modernen und funktionsfähigen Chip zu fertigen. Es braucht dafür noch viele weitere Maschinen und Ausrüstung. In westlichen Fabriken sind deshalb noch viele weitere Hersteller wie LAM Research, Applied Materials, Tokyo Electron und diversen andere mit ihren Produkten vertreten, ohne die kein Chip das Werk verlässt. Dennoch gilt das Lithografiesystem als das Kernstück und am schwersten zu bewerkstelligende Puzzleteil, alle anderen Gerätschaften folgen.

Als nächster Schritt soll nun eine Immersion-Variante folgen, das große Ziel aber auch ein Gegenstück zu EUV sein. Hier erhofft man sich, im kommenden Jahr 2025 und dann 2026 erste eigene Erfolge zu präsentieren. Offizielle Verlautbarungen gibt es bisher aber zu keiner dieser Lösungen.