Snapdragon

Snapdragon 8 Elite: Qualcomm greift mit 2. Generation Oryon nach der CPU-Krone

Nicolas La Rocco
26 Kommentare
Snapdragon 8 Elite: Qualcomm greift mit 2. Generation Oryon nach der CPU-Krone

Qualcomm führt mit dem Snapdragon 8 Elite die 2. Generation Oryon ein. Die CPU setzt auf schnelle Prime- und Performance-Kerne, die Apple die Single-Core-Krone streitig machen und im Smartphone den schnellsten Chip stellen sollen. Auch die GPU-Leistung steigt deutlich und vergrößert den Abstand weiter. Fertiger ist TSMC in 3 nm.

Nach dem Notebook zieht Oryon im Smartphone ein

Zum letztjährigen Snapdragon Summit hatte es CEO Cristiano Amon bereits in Aussicht gestellt: Qualcomm wird auch in Smartphone-Chips der Arm-Mikroarchitektur „von der Stange“ den Rücken kehren und zur eigens entwickelten Mikroarchitektur der Oryon-CPU wechseln, wie sie bereits im Notebook für den Snapdragon X Elite zum Einsatz kommt. Für den soeben vorgestellten Snapdragon 8 Elite, der auf den letztjährigen Snapdragon 8 Gen 3 folgt, gibt es neben dem abermals neuen Namensschema nicht lediglich einen Transfer der Oryon-Kerne aus dem Notebook, sondern eine Neuentwicklung speziell für Smartphones, die die 2. Generation Oryon einläutet.

Armv8.x-ISA kommt ohne SME(2)

Als Befehlssatzarchitektur (ISA) wird aber weiterhin auf Arm gesetzt, diesem Grundsatz bleibt Qualcomm treu, nur jetzt eben mit eigens entwickelter Mikroarchitektur, anstatt auf CPU-Kerne wie den neuen Cortex-X925, A725 oder A520 Refresh von Arm zu setzen. Die ISA entspricht wie bei der ersten Oryon-Generation noch Armv8.x, wie Qualcomm auf Nachfrage erklärte, und demnach noch nicht der aktuellen Armv9, wie sie Arm selbst oder zum Beispiel Apple und aktuell auch noch Qualcomm etwa beim Snapdragon 8 Gen 3 nutzt. Deshalb können zum Beispiel SME- und SME2-Instruktionen (Scalable Matrix Extension) nicht ausgeführt werden, da sie exklusiv für Armv9 eingeführt wurden. Das wiederum hat Einfluss (unter anderem) auf Benchmarks wie den Geekbench 6.3, der bei Machine-Learning-Workloads auf Chips mit SME-Unterstützung höhere Ergebnisse produziert.

Snapdragon 8 Elite zusammengefasst
Snapdragon 8 Elite zusammengefasst (Bild: Qualcomm)

Man munkelt in der Branche, dieser Umstand könnte am weiterhin brodelten Rechtsstreit mit Arm liegen. Qualcomm hat Arm-Lizenzen für die Armv8.x-ISA und Armv9-Mikroarchitekturen, Gerüchten zufolge aber nicht für die Armv9-ISA.

Qualcomm propagiert schnellste Mobile-CPU

Das alles wird aber ziemlich schnell nebensächlich, wenn man sich den Snapdragon 8 Elite im Detail anschaut und die von Qualcomm propagierten Fortschritte bei Leistung und Effizienz berücksichtigt. In der Ankündigung ist von nichts weniger als der „world's fastest mobile CPU“ und dem „most powerful and world's fastest mobile system-on-a-chip ever“ die Rede. In den Fußnoten dazu heißt es, dass sich die erste Angabe auf den Fmax (Maximaltakt) sowie Ergebnisse aus den Benchmarks Geekbench 6.2 ST, SpecINT, Speedometer, AnTuTu CPU und Geekbench 6.3 MT bezieht. Die zweite Aussage basiert auf eigenen Benchmarks in SpecINT, Speedometer, AnTuTu CPU und Geekbench 6.2 ST.

Qualcomm pickt sich die Rosinen heraus

Dass Qualcomm sich ein wenig die Rosinen herauspickt, ist anhand der Wahl des Geekbench 6.2 für Single-Thread und Geekbench 6.3 für Multi-Thread zu erkennen. So muss Qualcomm beim Single-Core-Ergebnis gar nicht erst befürchten, von einem Chip mit SME(2)-Unterstützung, wie etwa dem Apple A18 (Pro), doch geschlagen zu werden.

Snapdragon 8 Elite und 2nd Gen. Oryon im Detail

Die CPU stellt eine der größten Veränderungen des Snapdragon 8 Elite dar und führt die zweite Oryon-Generation ein, die (vorerst) speziell für Smartphones entwickelt wurde.

Zwei Prime-Kerne mit bis zu 4,32 GHz

Auf dieser neuen Mikroarchitektur basieren die zwei neuen Prime-Cores, die Qualcomm mit einem Maximaltakt von ausgesprochen hohen 4,32 GHz betreibt. Zum Vergleich: Selbst der für Samsung angepasste Snapdragon 8 Gen 3 for Galaxy kommt auf maximal 3,40 GHz und bei Apple sind es im A18 (Pro) bis zu 4,05 GHz. Im Notebook liegen beim Prime-Core des Snapdragon X Elite (X1E-84-100 im Test) maximal 4,20 GHz an, beim jüngst eingestellten Dev Kit mit X1E-00-1DE waren bis zu 4,30 GHz angedacht.

Sechs Performance-Kerne statt E-Cores

Klassische Efficiency-Kerne gibt es beim Snapdragon 8 Elite nicht mehr. Die E-Kerne hat Qualcomm über die letzten Generationen von vier Stück im Snapdragon 8 Gen 1 auf drei im Snapdragon 8 Gen 2 und zwei im Snapdragon 8 Gen 3 zuletzt immer weiter reduziert und durch stärkere, im Laufe der Zeit aber stetig effizientere Performance-Kerne ersetzt. Im Snapdragon 8 Elite gibt es die E-Kerne überhaupt nicht mehr, stattdessen bilden sechs Performance-Kerne mit ebenfalls eigens entwickelter Mikroarchitektur die kleineren Kerne der CPU. Diese betreibt Qualcomm mit einem ebenso außergewöhnlich hohen Maximaltakt von 3,53 GHz. Für die Cortex-A720 des Snapdragon 8 Gen 3 (for Galaxy) war zumindest für drei davon zuletzt bei 3,15 GHz Schluss.

Snapdragon 8 Elite Snapdragon 8 Gen 3 (for Galaxy)
CPU Prime 2 × 2nd Gen. Oryon
4,32 GHz
1 × Cortex-X4
3,30 GHz (3,40 GHz)
Performance 6 × 2nd Gen. Oryon
3,53 GHz
3 × Cortex-A720
3,15 GHz
+
2 × Cortex-A720
2,96 GHz
Efficiency 2 × Cortex-A520
2,27 GHz

45 Prozent höhere Leistung

Im Vergleich zum Snapdragon 8 Gen 3 soll der Snapdragon 8 Elite im Geekbench 6.2 ST und MT eine 45 Prozent höhere Single-Core- und 45 Prozent höhere Multi-Core-Leistung bieten. Die CPU sei zugleich 44 Prozent effizienter, während das gesamte SoC eine Energieeinsparung von 27 Prozent erreiche.

Instant Wake mit Data Temporal Prefetcher

Anteil an der gesteigerten Leistung und Effizienz hat unter anderem das von Qualcomm für die neue Mikroarchitektur eingeführte Feature „Instant Wake“, bei dem es sich um einen Data Temporal Prefetcher handelt. Für eine CPU ist es stets besonders ineffizient, Daten zunächst aus dem im Vergleich zu den Caches langsamen DRAM zu laden, was außerdem Leistung kostet. Prefetcher sollen dafür sorgen, dass Daten aus dem DRAM in den Cache geladen werden, bevor sie eigentlich benötigt werden, damit sie bei der Ausführung annähernd sofort (instant) zur Verfügung stehen. Ein Data Temporal Prefetcher überwacht, welche Daten regelmäßig und in welcher Reihenfolge aus dem DRAM geladen werden und versucht, anhand dieser Muster Daten vorab in den Cache zu laden, bevor sie die CPU benötigt, sodass Verzögerungen minimiert werden, es nicht zu Leerläufen (Stalls) kommt und der Prozessor somit schneller und effizienter läuft.

Data Temporal Prefetcher und sehr hohe Taktraten
Data Temporal Prefetcher und sehr hohe Taktraten (Bild: Qualcomm)

L2-Cache wächst auf insgesamt 24 MB

Damit für den Data Temporal Prefetcher genügend Cache zur Verfügung steht, hat Qualcomm auch dort Hand angelegt und diesen deutlich vergrößert. Allein den zwei Prime-Kernen stehen 12 MB L2-Cache zur Verfügung, also 6 MB pro Kern, nachdem der einzelne Prime-Kern (Cortex-X4) des Snapdragon 8 Gen 3 auf 2 MB kam. Den sechs Performance-Kernen spendiert Qualcomm ebenfalls 12 MB L2-Cache, demnach 2 MB pro Kern anstelle von jeweils 512 KB oder in Summe 2,5 MB für die zuvor fünf Cortex-A720. Den L1-Cache gibt Qualcomm mit 192 KB pro Prime- und 128 KB pro Performance-Kern an. Angaben zum L3- oder zu einem System Level Cache (SLC) stehen noch aus.

12 MB L2-Cache allein für die Prime-Kerne
12 MB L2-Cache allein für die Prime-Kerne (Bild: Qualcomm)

Speicherinterface geht auf LPDDR5X-10667

Damit aber auch der Transfer vom DRAM zu den Caches schneller abläuft, wurde das nun Dual- statt Quad-Channel-Speicherinterface von LPDDR5X-9600 auf LPDDR5X-10667 aufgestockt, den zum Beispiel Samsung bereits anbietet und im Juli dieses Jahres für den Einsatz bei MediaTek validiert hat, wo er beim neuen Dimensity 9400 zum Tragen kommt. Schneller DRAM spielt auch bei Generative-AI-Workloads eine wichtige Rolle und ist ebenso für die Leistung der GPU von Vorteil, die bei einem Mobile-SoC neben wahlweise dediziertem Speicher üblicherweise den DRAM als Grafikspeicher nutzt.

Großer Caches und schnelles DRAM-Speicherinterface
Großer Caches und schnelles DRAM-Speicherinterface (Bild: Qualcomm)

3-nm-Fertigung bei TSMC in N3E

Große Caches nehmen viel Fläche auf dem Prozessor-Die ein, diesem Umstand steuert Qualcomm mit der modernsten verfügbaren Fertigung von TSMC entgegen. Das wiederum dürfte den Snapdragon 8 Elite zum teuersten Mobile-SoC machen, der jemals den Partnern angeboten wurde. Der Chip wird in der 3-nm-Fertigungsstufe N3E produziert, der bei Apple für den A18 (Pro) und bei MediaTek für den Dimensity 9400 zum Einsatz kommt. Die N3-Prozesse nehmen bei TSMC stetig mehr Kapazität ein und sorgen für Umsätze und Gewinne, die jüngst alle Erwartungen übertroffen haben.

Adreno-GPU wechselt zu einer Sliced-Architektur

In puncto Grafikleistung sitzt die Krone hingegen schon länger auf Qualcomms Kopf, mit der Adreno-GPU des Snapdragon 8 Elite wird der Abstand weiter vergrößert. 40 Prozent schneller insgesamt, 35 Prozent schneller beim Raytracing und insgesamt 40 Prozent energiesparender sei das neue Modell im Vergleich zur vorherigen Adreno 750.

Adreno-GPU baut Führung weiter aus
Adreno-GPU baut Führung weiter aus (Bild: Qualcomm)

Drei Slices mit bis zu 1,1 GHz

Verantwortlich dafür ist die neu entwickelte Sliced-Architektur, bei der sich die GPU aus physisch voneinander getrennten Bereichen oder Abschnitten (Slices) mit jeweils eigenen Compute Units zusammensetzt, anstatt eine große monolithische GPU zu nutzen. Eine Sliced-Architektur erlaubt in der Theorie ein besseres Ressourcenmanagement und ermöglicht laut Qualcomm auch höhere Taktraten. Eine Architektur dieser Art bedingt aber auch einen entsprechenden „Command Processor“, der die Aufgaben entsprechend den Slices zuweist oder diese je nach Last auch deaktiviert, um Energie zu sparen. Die neue Adreno-GPU arbeitet mit bis zu 1,1 GHz pro Slice, nachdem die Adreno 750 noch mit 903 MHz oder 1,0 GHz (for Galaxy) lief.

Adreno-GPU wechselt zu einer Slices-Architektur
Adreno-GPU wechselt zu einer Slices-Architektur (Bild: Qualcomm)

12 MB eigener GPU-Speicher

Der GPU stehen außerdem 12 MB dedizierter Speicher mit Anbindung an jeden der drei Slices zur Verfügung, womit Qualcomm auch hier die Zugriffe auf den DRAM reduzieren möchte, um Leistung und Effizienz zu steigern. Das zeigt wie bei der CPU eine kostspielige Herangehensweise und verdeutlicht die Maxime von Qualcomm, dass viele Bereiche des Snapdragon 8 Elite beinahe unabhängig der daraus resultierenden Kosten entwickelt wurden. Der „Elite“ steht damit noch oberhalb der regulären „Snapdragon 8“.

Support für Nanite in der Unreal Engine 5

Epic war bereits für den Snapdragon 8 Gen 3 Kooperationspartner aufseiten der GPU, um deren Lumen mit Global Illumination und Reflexionen aus der Unreal Engine 5.2 vom Desktop kommend für das mobile Segment zu optimieren. Mit der Adreno des Snapdragon 8 Elite wird die Kooperation für den Support von Nanite fortgesetzt.

Nanite-Unterstützung auf Smartphones
Nanite-Unterstützung auf Smartphones (Bild: Qualcomm)

Nanite ist das virtualisierte Geometriesystem der Unreal Engine 5, das ein von Epic entwickeltes Mesh-Format und eine Rendering-Technologie nutzt, um pixelgenaue Geometriedetails bei einer hohen Anzahl von Objekten zu ermöglichen. Dabei wird auf ein stark komprimiertes Datenformat gesetzt, das feinkörniges Streaming mit automatischem Level of Detail (LOD) unterstützt, sodass die dort üblicherweise sichtbaren qualitativen Abstufungen nicht mehr zu erkennen sind und ein bis dato nicht möglicher Detailgrad geboten werden kann. Diese Technologie kommt mit der neuen Adreno-GPU des Snapdragon 8 Elite jetzt ebenso vom Desktop auf das Smartphone.

Künstliche Intelligenz läuft auf Hexagon-NPU

AI hat vor allem bei mobilen Prozessoren in kurzer Zeit einen Stellenwert erlangt, der stetig leistungsfähigere Beschleuniger zur Folge hat. Auch im Snapdragon 8 Elite nimmt das Thema wieder eine große Rolle ein, sodass Qualcomm die Hexagon-NPU abermals aufgestockt hat. Nicht vergessen werden darf bei Blick auf die gesamte „AI Engine“ neben NPU, GPU und „Sensing Hub“ die Rolle der CPU, die vor allem für besonders latenzkritische AI-Workloads und für deren Zuweisung auf die einzelnen Bestandteile der AI-Engine zuständig ist.

AI Engine mit CPU als ebenso wichtiger Komponente (Bild: Qualcomm)

TOPS sind für Qualcomm nicht mehr wichtig

Das Herz der AI-Engine ist aber die Hexagon-NPU, für die Qualcomm nicht länger mit TOPS wirbt, nachdem dies bis zuletzt vor allem im Compute-Segment für Notebooks noch gang und gäbe war. Alle Snapdragon X Elite und Plus sind zum Beispiel mit einer NPU mit 45 TOPS ausgerüstet. In einem Whitepaper zur eigenen NPU-Technologie (PDF) erklärt Qualcomm, dass TOPS zwar indikativ für das Hardware-Potenzial einer NPU seien, aber letztlich die Software ausschlaggebend für die effektive Nutzung der Hardware sei. Das ist zwar korrekt, wenn bislang genutzte Metriken aber plötzlich aus den Ankündigungen und Unterlagen eines Herstellers wegfallen, ist das ein Anzeichen dafür, dass man in diesem Punkt unterlegen ist und nicht mehr damit werben möchte.

Die Hexagon-NPU des Snapdragon 8 Elite
Die Hexagon-NPU des Snapdragon 8 Elite (Bild: Qualcomm)

Mehr Kerne für Skalar- und Vektor-Operationen

Neben dem unverändert übernommenen Tensor- ziehen Upgrades in den Skalar- und Vektor-Beschleuniger der Hexagon-NPU ein, wobei für Skalare mit 8 Kernen nun ein Drittel und für Vektor-Operationen mit 6 Kernen nun 50 Prozent mehr zur Verfügung stehen. Für alle Kerne sei der Durchsatz gesteigert worden, um das Inferencing zu verbessern. Außerdem habe man die Nebenläufigkeit optimiert, um mehr Flexibilität beim parallelen Ausführen unterschiedlicher Workloads zu erhalten, wovon multimodale AI-Workloads profitieren sollen, die Text, Sprache, Fotos und Videos für Ein- und/oder Ausgabe enthalten. Gemessen am Benchmark MLPerf-MobileBERT gibt Qualcomm einen Leistungszuwachs der NPU um 45 Prozent gegenüber NPU im Snapdragon 8 Gen 3 an. Die AI-Leistung pro Watt falle 45 Prozent höher aus.

Mehr Kerne und Leistung für die AI Engine
Mehr Kerne und Leistung für die AI Engine (Bild: Qualcomm)

Sensing Hub für Always-on-Funktionen optimiert

Im Sensing Hub führt Qualcomm stromsparend Always-on-Funktionen aus, die zum Beispiel digitale Assistenten wie den von Google betreffen, der etwa auf einen Sprachbefehl des Anwenders wartet. Im Sensing Hub sitzen zwei Always-sensing-ISPs (Bildprozessoren), zwei Micro-NPUs, ein DSP und dedizierter Speicher. Letzterer falle 34 Prozent größer, während die AI-Leistung insgesamt um 60 Prozent gesteigert wurde.

Der Sensing Hub läuft immer mit
Der Sensing Hub läuft immer mit (Bild: Qualcomm)

AI ISP ist für die Smartphone-Kameras zuständig

Bereits auf dem Spectra-Triple-Bildprozessor (ISP) des Snapdragon 8 Gen 3, der unter der Bezeichnung „Cognitive ISP“ lief, waren AI-gestützte Workloads wie die Erweiterung von Fotos im Randbereich, das Skalieren auf eine höhere Auflösung und die Segmentierung der sichtbaren Objekte mit individueller Optimierung möglich.

AI ISP verarbeitet 4,3 Gigapixel/s
AI ISP verarbeitet 4,3 Gigapixel/s (Bild: Qualcomm)

Der immer stärkere Einfluss von Künstlicher Intelligenz auf Fotos und Videos führt beim Snapdragon 8 Elite zur Umbenennung zum „AI ISP“, der eine überarbeitete Anbindung namens „Hexagon Direct Link“ an die NPU bietet. Flossen die Rohdaten der Kamerasensoren bislang zunächst in den ISP und wurden zur Nachbearbeitung an die NPU übergeben, kann diese nun auch direkt auf die Rohdaten der Sensoren zugreifen. Im Videomodus sollen in Echtzeit AI-gestützte Optimierungen bei einer Auflösung von bis zu 4K60 möglich sein. Der Videomodus bleibt bei bis zu 8K30, 4K120 oder 2K480.

Hexagon Direct Link
Hexagon Direct Link

Segmentierung kennt mit „Insight AI“ kaum noch Grenzen

Von der direkten Anbindung soll abermals die Segmentierung einer Aufnahme in verschiedene Layer und Objekte profitieren, um diese identifizieren und unabhängig voneinander zu optimieren. Qualcomm spricht diesmal von einer „Limitless Segmentation“ in Echtzeit, die zum Beispiel bei einer 4K60-Aufnahme mehr als 250 Layer maskieren und identifizieren kann, um kleinste Anpassungen komplexer Aufnahmen mit unzähligen Objekten auszuführen. Mit „AI Portrait Video Re-lighting“ unterstützt Qualcomm auch das Einfügen virtueller Lichtquellen, damit sich etwa bei einer Videokonferenz mit Gegenlicht hinter dem Anwender eine zusätzliche Lichtquelle vor dem Nutzer schaffen lässt, die auch die Gesichtskonturen berücksichtigt. Die höhere AI-Leistung sorgt auch dafür, dass sich der Objektradierer neben Fotos jetzt auch für Videos mit bis zu 30 FPS nutzen lässt, ohne die Cloud dafür zu beanspruchen.

Bildvergleich: Insigt AI mit Limitless Segmentation (Original) (Bild: Qualcomm) Insigt AI mit Limitless Segmentation (Objekterkennung) (Bild: Qualcomm)

ISP kann mehr Rohdaten gleichzeitig verarbeiten

Den Fotomodus stockt Qualcomm entsprechend der Entwicklung zu stetig höher auflösenden Sensoren auf. Der Rohdatendurchsatz liegt jetzt bei 4,3 statt 3,2 Gigapixel/s und im latenzfreien Zero-Shutter-Lag-Modus (ZSL) können parallel drei Sensoren mit 48 statt 36 MP ausgelesen werden. Bei einer einzelnen Kamera liegt das ZSL-Maximum erneut bei 108 MP, ohne ZSL darf ein Sensor bis zu 320 statt 200 MP aufweisen.

Snapdragon X80 zieht als neues Modem ein

Als All-in-One-SoC ist der Snapdragon 8 Elite erneut mit einem integrierten Multi-Mode-Modem bestückt, das alle Mobilfunkstandards von 2G bis 5G Advanced unterstützt. Das Modem hatte Qualcomm im Februar dieses Jahres als Weiterentwicklung des Snapdragon X75 vorgestellt. Wie zuerst beim Snapdragon X70 vor zwei Generationen ist das neue Modell mit einem eigenen KI-Prozessor bestückt, der Feedback aus dem Verbindungskanal erhält und dynamisch Optimierungen vornehmen kann, um Durchsatz und Effizienz zu steigern respektive den Verbrauch zu reduzieren.

Modem ist bereit für 5G Advanced

Das Snapdragon X75 war erstmals für die 5G-Weiterentwicklung 5G Advanced ausgelegt, das Snapdragon X80 knüpft daran an. 5G Advanced sieht unter anderem Verbesserungen beim Uplink vor, der Standard verspricht zudem mehr Flexibilität bei der Nutzung des verfügbaren Spektrums und bietet einen Timing-Service über das 5G-Netz, um selbst ohne GPS eine genaue Standortbestimmung vornehmen zu können.

Snapdragon X80 für 5G Advanced und NB-NTN über Satelliten
Snapdragon X80 für 5G Advanced und NB-NTN über Satelliten (Bild: Qualcomm)

Support für Narrowband Non Terrestrial Network

Einzug hält dieses Jahr außerdem NB-NTN, was für „Narrowband Non Terrestrial Network“ steht, sodass auch schmalbandige Satelliten-Verbindungen unterstützt werden, über die Notrufdienste und Messenger abgewickelt werden können. Langfristig gesehen sollen sich die Satelliten auch für Gespräche und Daten nutzen lassen.

6CA Carrier Aggregation für 10 Gbit/s

Für die neue Generation belässt Qualcomm den maximal möglichen Down- und Uplink bei 10 Gbit/s respektive 3,5 Gbit/s, ermöglicht jedoch über eine bis zu sechsfache Carrier Aggregation (6CA) und sechs Empfänger-Antennen (6Rx) eine flexiblere Bündelung verschiedener Frequenzblöcke, um auf die angegebene Spitzengeschwindigkeit zu kommen. Mit dem Snapdragon X75 konnten maximal fünf Frequenzblöcke aggregiert werden. Wie im Vorjahr gilt das 6CA ausschließlich für Frequenzen im Sub-6-Spektrum unterhalb von 6 GHz. Das Snapdragon X80 unterstützt allerdings auch mmWave-Aggregation sowie die Bündelung aus beiden Frequenzbereichen.

FastConnect 7900 bringt Wi-Fi 7 mit KI und UWB zusammen

Einher geht mit dem Snapdragon 8 Elite der Support für die Anbindung des FastConnect 7900, also den aktuellen Wi-Fi-Chips von Qualcomm. Diesen zeigt Qualcomm auf den Blockdiagrammen zwar stets als Teils des SoCs, es handelt sich aber um eine eigenständige Lösung, die auch mit älteren SoCs kombiniert werden kann.

FastConnect integriert neben Wi-Fi 7 auch UWB
FastConnect integriert neben Wi-Fi 7 auch UWB (Bild: Qualcomm)

UWB ist jetzt ein Standard-Feature

Der FastConnect 7900 war zur Vorstellung im Februar ein High-End-Chipsatz für Wi-Fi 7 und Bluetooth 5.4, zur aktuellen Ankündigung nennt Qualcomm aber auch den Support des neuen Bluetooth 6.0. Erstmals integriert das Unternehmen auch UWB für Ultra-Breitband-Verbindungen etwa bei der Nutzung des Smartphones als Autoschlüssel. Damit müssen Hersteller von Smartphones, Smartwatches, Tablets und Notebooks lediglich noch einen Chip verbauen, um alle drei Standards abzudecken.

WLAN soll dank AI effizienter arbeiten

Der Chipsatz verfügt über einen eigenen KI-Prozessor und nehme die Optimierungen auf Seite des Clients vor, hieß es recht vage ausgedrückt zur Vorstellung. Wie sich in einem WLAN etwa am Flughafen mehrere Endgeräte mit einem FastConnect 7900 verhalten, die allesamt mittels KI optimierte Verbindungen mit möglichst hoher Effizienz und niedriger Latenz ausführen wollen, dazu konnte der Hersteller zum MWC keine Aussage treffen. Wi-Fi-Chips mit KI aufseiten des Hosts hatte Qualcomm zuvor noch nicht im Sortiment. Der Energiebedarf soll um 40 Prozent zurückgehen, doch muss dabei auch die Umstellung von der bisherigen 14-nm- auf die 6-nm-Fertigung bedacht werden. AI als Zauberformel für Verbesserungen dürfte nicht alleinverantwortlich sein.

Ersteindruck der Redaktion zum Snapdragon 8 Elite

Der Snapdragon 8 Elite ist ein eindeutig für das Premiumsegment entwickelter Smartphone-Prozessor, der Leistung noch mehr über Kosten stellt, als es bislang mit der Snapdragon-8-Serie der Fall war. Mit eigener Mikroarchitektur der zweiten Oryon-Generation für alle CPU-Kerne, deutlich höheren Taktraten, viel größeren Caches und modernster TSMC-Fertigung gehen Leistung und Effizienz signifikant noch oben und machen Apple erstmals bei der Single-Core-Leistung die Krone streitig. Die schnappt sich Qualcomm internen Messungen zufolge auch, doch müssen SME(2)-Instruktionen ausgeklammert werden. Ganz oben auf dem Podest stand Qualcomm bereits mit der Multi-Core-Leistung, der Snapdragon 8 Elite baut diesen Vorsprung weiter aus.

Snapdragon 8 Elite in einem Qualcomm Reference Device
Snapdragon 8 Elite in einem Qualcomm Reference Device (Bild: Qualcomm)

Die CPU ist allerdings nur ein Aspekt des SoCs, Qualcomm lässt es sich obgleich dieser großen Veränderungen nicht nehmen, auch die GPU auf eine vollständig neue Architektur umzustellen, dem Bildprozessor einen neuen Aufbau zu verpassen und zugleich noch die NPU aufzustocken. An allen Enden und Ecken gibt es zudem dedizierten und damit teuren Speicher, um die Zugriffe auf den DRAM zu reduzieren.

Der Snapdragon 8 Elite ist ein imposanter Chip mit zahlreichen neuen Ansätzen, die vielversprechend sind. Für Smartphone-Hersteller dürfte es aber auch der mit Abstand teuerste Chip werden, den sie jemals bei Qualcomm einkaufen konnten.

Asus, Honor, Samsung und Xiaomi setzen auf Qualcomm

Das scheint zahlreiche Unternehmen aber nicht vom Erwerb abzuschrecken, wie die vielen Zusagen verdeutlichen, die Qualcomm für den Snapdragon 8 Elite vorliegen hat. Asus, Honor, iQOO, OnePlus, Oppo, Realme, Samsung, Vivo, Xiaomi und „weitere“ sollen über die nächsten Wochen erste Smartphones mit Snapdragon 8 Elite vorstellen. Die Kosten werden letztlich an den Käufer weitergegeben. Die aktuelle Entwicklung von Premium- zu Ultra-Premium-Geräten mit immer höheren Preisen dürfte sich 2025 fortsetzen.

ComputerBase hat Informationen zu diesem Artikel von Qualcomm im Vorfeld und im Rahmen einer Veranstaltung des Herstellers auf Maui unter NDA erhalten. Die Kosten für Anreise, Abreise und vier Hotelübernachtungen wurden von dem Unternehmen getragen. Eine Einflussnahme des Herstellers auf die oder eine Verpflichtung zur Berichterstattung bestand nicht. Die einzige Vorgabe war der frühestmögliche Veröffentlichungszeitpunkt.

Snapdragon Summit 2024 (21.–23. Oktober 2024): Alle News auf der Themenseite!
  • Snapdragon 8 Elite: Qualcomm greift mit 2. Generation Oryon nach der CPU-Krone
  • Oryon im Smartphone: Snapdragon Summit 2024 findet vom 21. bis 23. Oktober statt
  • Snapdragon 8 Gen 4: Qualcomm bestätigt Namen und Einsatz von Oryon-CPU