News Halbleiterfertigung: IBM hat ersten 2-nm-Chip entwickelt

andi_sco schrieb:
Tja, ein Kernreaktor gibt pro mm2 weniger Wärme ab, als moderne Prozessoren.
Ergänzung ()


Laut Text bezieht sich das auf einen 2D Transistor.
Ein Transistor ist aber immer 3D. Etwas Physikalisch reales kann nicht 2D sein.
 
"Strukturen, die 2 nm messen, sucht man in der IBM-Folie vergebens. Die Nanometer-Bezeichnungen sind im Zeitalter der 3D-Transistoren vielmehr als Äquivalent zu einem 2D-Transistor-Design zu verstehen und geben dem Kind einen Namen."
Das ist wohl das einzig relevante an den xy-nm Bezeichnungen die von den Herstellern beworben werden.

Am Ende ist für den Verbraucher wohl eher Leistung/Watt oder Benchmarks in genutzten Anwendungen aussagekräftig/vergleichbar.

Wie immer ruhig Tee/Bier trinken und auf Tests kaufbarer Produkte warten. MfG.
 
Schön langsam ist das Ganze nur mehr lächerlich. Es ist höchste Zeit diese irreführenden nm Angaben zu beenden und stattdessen auf objektive Werte zu setzen. Ich schlage die Transistordichte bei SRAM Zellen vor.
 
psyabit schrieb:
Ein Transistor ist aber immer 3D. Etwas Physikalisch reales kann nicht 2D sein.
Wenn dir der Begriff 2D nicht passt, dann eben planar. Das bezieht sich auf die Struktur vom Gate des Transistors.
Hier gibt's 'ne nette Erklärung zum Aufbau mit Querschnitten durch das Silizium-Substrat für
"2D" bzw. planare MOSFET und
"3D" bzw. FinFET
 
  • Gefällt mir
Reaktionen: Rockstar85, Tanzmusikus, SpamBot und eine weitere Person
@andr_gin
Es sind Namen. Die Transistordichte ist doch auch nicht eindeutig. Kann ja jeder rechnen wie er will. Mal davon ab, dass das ein unpraktischer Name wäre.

Akzeptiert einfach, dass es ein Name ist und nichts mit der Größe zu tun hat.
 
  • Gefällt mir
Reaktionen: Rockstar85, SpamBot, cirrussc und 2 andere
Laut der Folie ist der Intel Prozess aber bei gleicher Strukturbreitennamensgebung aber wesentlich dichter gepackt, Intel 10nm ist ja dichter gepackt ala die 7 nm der Konkurrenz.
 
flappes schrieb:
Ja klar, winzige, wenige nm große Minilüfter müssen direkt integriert werden ;)
Oder man baut Nano-Kühlröhren rein die mit Flüssigkeit gefüllt werden. (™ was ich hiermit erfunden hätte)
Gibt es bereits Versuche mit. Glaube LTT hat hierzu auch ein Video online...
Ergänzung ()

Beitrag schrieb:
Nvidia lässt aber bei Samsung fertigen, anderer Prozess.
Ja TSMC 7nm und Samsung 7nm haben ca. max. 100 MTr/mm2. RDNA2 und GA100 werden bei TSMC in 7nm gefertigt.

Ausnahme ist Ampere für Consumer (GA102-GA106) hier wird in Samsung 8nm gefertigt was eher ein 10nm LPU+ ist und daher nur ca. 60 MTr/mm2 erreicht.

Rechne damit dass Nvidia beim Nachfolger trotzdem bei Samsung bleibt. Deren 5nm LPE soll 130 MTr/mm2 haben - Damit könnte Nvidia die Einheiten nahezu verdoppeln was sich auch mit Gerüchten deckt und wird trotzdem mehr Kapazität und bessere Preise als bei TSMC erzielen.

wenn sie aus TSMC 5nm gehen wäre es natürlich eine krasse Steigerung wenn man die maximale Chipfläche gleich belässt da dieser 170 MTr/mm2 bietet und die Transistoren fast verdreifachen würde.
Ergänzung ()

TechFA schrieb:
Na, GlobalFoundries wahrscheinlich nicht, dafür gönnt man dem Verein ja kein Geld …
Also entweder TSMC oder aber Samsung, wahrscheinlich eher Letzterer. IBM hat ja schon seit geraumer Zeit eine Herstellungskooperation zur Produktion von Halbleitern mit denen (Samsung fertigt IBMs Chips).

… weiß TechFA

TechFA schrieb:
Richtig, IBM und Intel haben eine reine Forschungs- & Entwicklungskooperation mit Intel, nicht annähernd eine Kooperation zur Produktion von Halbleitern, wie sie eine solche ist mit Samsung.

Diese Formulierung im Artikel ist nicht nur geeignet, missinterpretiert zu werden, sie suggeriert und impliziert geradezu, dass Intel eventuell einmal IBMs 2nm-Designs auflegen könnte und dürfte. Das ist nicht nur illusorisch sondern auch einfach utopisch. Insbesondere dann, wenn man sich die zahllosen, nie enden wollenden Fertigungsprobleme Intels der letzten 10 Jahre anguckt.

Also, auch wenn es scheinbar extrem schwer fallen mag, aber bleibt doch bitte neutral und hört auf, wahrscheinlich persönliche Wunschvorstellungen in Artikel über Nachrichten hineinzuprojizieren. Weil die Formulierungen lesen sich eher, als wenn hier mal wieder der Wunsch des Gedankens Vaters war und keine Fakten (aber das ist ja gewollt, oder?). Ansonsten hätte man es ja nicht so formuliert und dem Leser vorgegriffen. Das ist nicht nur außerordentlich unseriös, sondern auch schlicht unprofessionell und tendenziös.

Deswegen, ändert den Artikel Euren Lesern zuliebe doch bitte einmal ab. ☘️


Na, GlobalFoundries wahrscheinlich nicht, dafür gönnt man dem Verein ja kein Geld …
Also entweder TSMC oder aber Samsung, wahrscheinlich eher Letzterer. IBM hat ja schon seit geraumer Zeit eine Herstellungskooperation zur Produktion von Halbleitern mit denen (Samsung fertigt IBMs Chips).

… weiß TechFA
Rechne auch eher mit Samsung für IBM da sie schon lange mit Samsung und GF zusammenarbeiten bzw. gearbeitet haben.

Durch die gemeinsame Forschung und auch dadurch dass Samsung keine Konkurrenz ist wirkt dies auch zukünftig wahrscheinlicher. Auch scheint Samsung günstige Deals anzubieten und mehr Kapazität frei haben.

Intel könnte natürlich langfristig eine Option sein - insbesondere falls Samsung nicht technisch mithalten könnte was ich aber nicht glaube - aber selbst dann ist es immer noch ein Risiko da Intel und IBM teilweise doch in speziellen Servern / Anwendungen konkurrieren. Auch wenn man da sicher Lösungen findet und es nicht mit AMD oder Nvidia vergleichbar ist

Bin auch sehr gespannt wie es mit der Zusammenarbeit von Nvidia und IBM aussieht jetzt wo Nvidia eigene ARM Server CPUs designt. Ob IBM dann eher Intel Grafikkarten als teil der Partnerschaft nutzt oder doch AMD. Oder eigene Designs wie Graphcore etc. einverleibt?
 
Zuletzt bearbeitet:
Die Tatsache, dass der Chip in 2nm präsentiert wird, bei der TSMC auf GAA setzen will, während Samsung schon bei 3nm GAA bietet, riecht stark danach, dass es bei TSMC produziert wurde. Würde mich nicht wundern, wenn das ein erster Fingerzeig darauf wäre, dass Samsung auch die kommenden 2-3 Jahr nicht den Topprozess liefert.
 
Phoenixxl schrieb:
Spannend. Gespannt bin ich allerdings, wie gut sich sowas überhaupt noch mit normaler Technik kühlen lässt.
Ryzen 5800x zeigt ja bereits, dass es sehr schwer wird, wenn die Fläche klein wird.

Effizienter ist es auf jeden Fall, aber geht's dann auch wieder schneller? Oder bleibt es bei der aktuellen Geschwindigkeit, weil die "Leistungsdichte" dann vorgibt, dass nur noch wenig Leistung in Watt anliegt. Ich hoffe ihr versteht was ich versuche zu sagen :)
Ich tippe auch mal, dass man bei Taktlimit mit den aktuellen Architekturen/Werkstoff angekommen ist. Im Boost geht vielleicht mehr, aber ich gehe eher davon aus, dass mehr Leistung nur noch über die Cores geholt werden und in deren „Verzahnung“ mit den anderen Bauteilen.

Oder es werden neue Kühlmethoden geschaffen, bei denen die Temperatur schnell aus dem Heatspot geschaffen wird. Eventuell auch ein neuer Werkstoff fürs Lot.
 
Colindo schrieb:
Die Tatsache, dass der Chip in 2nm präsentiert wird, bei der TSMC auf GAA setzen will, während Samsung schon bei 3nm GAA bietet, riecht stark danach, dass es bei TSMC produziert wurde. Würde mich nicht wundern, wenn das ein erster Fingerzeig darauf wäre, dass Samsung auch die kommenden 2-3 Jahr nicht den Topprozess liefert.
Nein denke ich nicht. Der Chip wurde In-House produziert. Dafür haben die sicher Anlagen - Nur halt keine Massenfertigung. Auch ist langer Samsung Partner von IBM und nicht TSCM.

Was den Rückstand von Samsung angeht würde ich es so vermuten: Man hat gesehen bei FinFET rennt man immer hinterher und kann nicht ganz aufholen. Und ein Jahr zu spät ist eben in der Mikrochip Branche zu spät und alle Designs sind weg bis auf ein paar Ausnahmen - Siehe Qualcomm zu günstigen Preisen etc. Auch sind die Kosten bei TSMC in der Regel höher da es von 7nm auf 5nm und von 5nm auf 3nm komplett neue Designs braucht. Bei Samsung ist dies einfacher zu portieren - Dies ist auch aus den Roadmaps ersichtlich.

Also hat man sich bei Samsung nicht auf 5nm Fokussiert und hier eher mit wenig Aufwand einen 7nm LPU+ generiert. Dafür hat man sich auf GAA Fokussiert und ist hier TSMC voraus. Allerdings braucht es für GAA neue Design Regeln anders als bei FinFET weshalb es leichter ist bei TSMC zu bleiben.

Langfristig hat Samsung dann aber Vorteile wenn der Prozess gut wird da Design Regeln klar sind und erste Erfahrungen vorhanden während TSMC bei 2nm dann erst mit GAA beginnt. Denke also dass man mit dem ersten Samsung 3nm GAAE (GateAllAroundEarly) 2021/2022 den Grundstein legt im mit GAAP (GateAllAroundPlus) 2022/23 aggressiv vorgehen zu können. Während TSMC erst 2023/24 GAA nutzt.

Auch wird es interessant zu sehen welche elektrischen Eigenschaften GAA aufzeigt. Diese könnten evtl. deutlich besser sein als FinFET und die geringere Dichte von Samsungs 3nm GAAE vs. TSMC 3nm FinFET ausgleichen.

Interessant ist es auch wann Intels 7nm nun wirklich kommt. Vlt. läuft es gut und wir sehen erste U-Series Mobile Chips schon 2022 parallel zu 10nm RaptorLake (wie damals bei CometLake und IceLake) und 2023 dann komplett 7nm. In dem Fall könnte Intel vlt. schon aggressiv vorgehen und 2024 mit GAA kommen. Müssen die Dichte ja nicht so aggressiv steigern da deren 7nm schon recht gut ist. Aber ich befürchte wir sehen Intel 7nm tatsächlich erst 2023 und Intel 5nm GAA dann 2025...

Aber zu Deiner Aussage: Bis mindestens 2023 wird TSMC schon die Nase vorn haben. Leider werden wir AMD 3nm Produkte in Masse auch nicht wirklich vor 2024 sehen wenn Apple die Kapazitäten nutzt und höhere Preise zahlt. Bus zu 2nm GAA TSMC sind es dann nochmal 2 Jahre bei AMD. Auch wenn ich hoffe dass sie bei GPUs und APUs bis 2023 Samsung zumindest teilweise nutzen.
 
  • Gefällt mir
Reaktionen: Alpha.Male
MarcoMichel schrieb:
Wenn Intel bei 10nm 10% mehr Transistoren pro mm² unterbringt als TSMC und Samsung, warum nennt Intel dann nicht ihre 10nm-Fertigung als 6nm?

Alles andere ist doch unlauterer Wettbewerb Intel gegenüber. Verstehe ich nicht.
Ich denke bei denjenigen die Entscheidungen darüber treffen wo ein Chip gefertigt wird, spielt der Marketing-Name keine Rolle.

Und das Breittreten der Namen hier oder anderen Online Magazinen liegt dich auch nur daran dass zu wenig wissen darüber vorherrscht was genau hinter den Prozessen steckt.
 
  • Gefällt mir
Reaktionen: chartmix, Rockstar85, Pjack und eine weitere Person
Den Preisrutsch nach oben? Seit 28nm steigt der Preis pro Transistor wieder
 
  • Gefällt mir
Reaktionen: dohderbert
Gerade mal gerechnet wieviele Elektronen jeder Transistor eines hypothetischen Prozessors mit 50Mia Transistoren bei 5Ghz bei 100A Strom zur „Arbeit“ zur Verfügung hat.
Das Krasse. Nur gerade 0.03% der Transistoren haben gleichzeitig 1 einziges Elektron pro Schaltung zur verfügung.
Kann das sein?

Meine Rechnung.
1 As (Ampéresekunde) = 1 Colombe = 6.8^18 Elektronen.

Elektronen / Transistoren / Frequenz =
Anzahl Elektronen pro Transistor pro Schaltvorgang.

6.8^18 x 100 / 50‘000‘000‘000 / 5‘000‘000‘000 =
0.0038 !

Natürlich nur hegtig unter der Annahme das alle Transistoren gleichzeitig benötigt werden würden…

😳
 
jusaca schrieb:
Wie kommt das? Eine SRAM Zelle sind ja typischerweise auch nur zwei gekoppelte Inverter + die beiden Bitline Transistoren. Also zumindest die überwiegend genutzte 6T-Zelle.
Aus welchem Grund skaliert dort die Transistordichte anders als in anderen Logikblöcken?
Typischerweise, korrekt.

Bei kleineren Strukturen benötigt man aber entweder a) eine 8T-Zelle (oder gar mehr) oder b) zusätzliche Schaltkreise, um die kritische Ladung* zu kontrollieren. Daher werden mit zunehmender Miniaturisierung die Abmessungen im SRAM nicht um den selben Faktor kleiner wie in der Logik.

*) Die kritische Ladung definiert ungewollte Schaltvorgänge.
 
  • Gefällt mir
Reaktionen: jusaca und cirrussc
wern001 schrieb:
ach waren das noch zeiten als die größe Angabe noch die echte Struckturgröße war
Einen 2nm Planar-Transistor willst du aber nicht. Der kann nämlich nur noch einen Zustand: an. Es ist ja nicht so, dass man nicht grundsätzlich in der Lage wäre, solche Transistoren zu fertigen und die deshalb kleiner benennt als sie wirklich sind. Sondern man muss für vernünftige Performance eben andere Techniken (FinFET, GAA, VGAA) als den Planar-Transistor einführen. Aber weil sich das nicht vergleichen lässt untereinander, gibt es eben die nm-Angabe, um grob zu sagen, was das Bauteil kann.

Keloth schrieb:
Damit wäre doch eine Vergleichbarkeit unabhängig des Nm Prozesses möglich?
Tatsächlich ist die Packdichte aber ziemlich uninteressant für die schlussendliche Performance. Wenn überhaupt eher für den Preis. Denn relevant ist, was die Transistoren leisten, nicht wie viele man auf dieselbe Fläche bekommt.
 
  • Gefällt mir
Reaktionen: Rockstar85
MarcoMichel schrieb:
Wenn Intel bei 10nm 10% mehr Transistoren pro mm² unterbringt als TSMC und Samsung, warum nennt Intel dann nicht ihre 10nm-Fertigung als 6nm?

Alles andere ist doch unlauterer Wettbewerb Intel gegenüber. Verstehe ich nicht.
Hat den Grund, weil Intel noch bis Skylake die erfolgreichste Halbleiter-Entwicklung hatte und sich nicht drum scheren musste, ob sich die Konkurrenz künstlich besser stellt.

Daraus ist 10 und 7nm entstanden. Und genau das Problem, was du beschreibst, hat Intel auch dies Jahr öffentlich erkannt und erwägt, zukünftige Generation der Konkurrenz gegenüber anzupassen.
 
  • Gefällt mir
Reaktionen: Pjack und xexex
MBV schrieb:
Was den Rückstand von Samsung angeht würde ich es so vermuten: Man hat gesehen bei FinFET rennt man immer hinterher und kann nicht ganz aufholen.

Also hat man sich bei Samsung nicht auf 5nm Fokussiert und hier eher mit wenig Aufwand einen 7nm LPU+ generiert. Dafür hat man sich auf GAA Fokussiert und ist hier TSMC voraus. Allerdings braucht es für GAA neue Design Regeln anders als bei FinFET weshalb es leichter ist bei TSMC zu bleiben.

Langfristig hat Samsung dann aber Vorteile wenn der Prozess gut wird da
Du denkst sehr optimistisch. Bei FinFet waren sie am Anfang mit 14/16 nm gut dabei. Dann haben sie schnellstmöglich EUV einsetzen wollen und das Drama nahm seinen Lauf. Es verzögerte sich alles und TSMC hat mit N7 alles abgegriffen. Im Endeffekt war man nicht vor TSMC mit EUV fertig und der Prozess war dann noch schlechter als TSMCs N7.
Das.gleiche kann jetzt wieder passieren. Sie haben nichtmal was vergleichbares wie N5 uns setzen alles auf GAA. Sollte sich das verzögern räumt TSMC wieder alles mit N3 ab.

Aber gut, wenn man hinten dran hängt muss man was riskieren.
 
  • Gefällt mir
Reaktionen: Colindo
Zurück
Oben