Intel Core Ultra 200S: Alle Details zu Intels Ryzen-9000(X3D)-Gegner Arrow Lake-S

Volker Rißka
123 Kommentare
Intel Core Ultra 200S: Alle Details zu Intels Ryzen-9000(X3D)-Gegner Arrow Lake-S
Bild: Intel

Intel Arrow Lake-S alias Core Ultra 200S für Desktop-PCs wird schneller und viel effizienter als die 14. Gen Core, sagt Intel und fügt an: Die Gaming-Krone behält AMD. Intels Gesamtpaket lässt dennoch aufhorchen. Alle Details, Eckdaten und Preise zur Architektur und Core Ultra 9 285K, Ultra 7 265K und Ultra 5 245K im Überblick.

Intel Arrow Lake-S alias Core Ultra 200S eingeordnet

Hinter dem Codenamen Intel Arrow Lake-S, ab heute von Intel „Core Ultra 200S“ genannt, verbirgt sich der größte Schritt im Desktop-Umfeld für Intel seit Jahren. Die Architektur bildet die Basis CPUs der Serien Core Ultra 9, Core Ultra 7 und Core Ultra 5 auf der neuen Desktop-Plattform mit Sockel LGA 1851 und 800er Chipsätzen und löst die 14. Gen Intel Core „Raptor Lake“ ab. Den Anfang machen am 24. Oktober 2024 die K(F)-Modelle Core Ultra 9 285K, Core Ultra 7 265K(F) und Core Ultra 5 245K(F). Schon heute hat Intel die Plattform final mit allen Details, Eckdaten und Preisen präsentiert.

Intels neue CPU-Architekturen (Core Ultra 200) im Vergleich
Lunar Lake Arrow Lake-H Arrow Lake-HX Arrow Lake-S
Markt Ultra-Mobile Mobile High-Performance-Mobile Desktop
Bezeichnung Core Ultra 200V
(Test)
Core Ultra 200H
(Details)
Core Ultra 200HX
(Details)
Core Ultra 200S
(K/KF/T)
max. CPU-Kerne 4P + 4E 6P + 8E* 8P + 16E
Kern-Architektur Lion Cove (P) + Skymont (E)
DRAM on Package ja nein
iGPU 8 Cores Xe2 8 Cores „Xe XMX“ 4 Cores Xe
NPU 48 TOPS 13 TOPS
Marktstart verfügbar 2025 24. Oktober 2024
*unbestätigt

Der Schritt von der 14. Gen Core auf Core Ultra Series 2 respektive Core Ultra 200 ist so groß, weil Meteor Lake-S als Desktop-Ableger von Meteor Lake alias Core Ultra Series 1 (nur im Notebook) gestrichen wurde und nun quasi von einer fast drei Jahre alten Architektur, Codename Raptor Lake, auf etwas Neues gewechselt wird. Seine Verwandtschaft mit dem nie erschienenen Meteor Lake-S kann Arrow Lake-S am Ende dabei nicht leugnen, wie im Verlauf dieses Berichts deutlich werden wird.

ARL Press Briefing zur IFA 2024
ARL Press Briefing zur IFA 2024 (Bild: Intel)

Core Ultra 9 285K, Ultra 7 265K(F) und Ultra 5 245K(F)

Fünf Modelle wird Intel zum Start am 24. Oktober in den Handel schicken, Anfang 2025 folgen weitere, die die Gerüchteküche bereits kennt.

Technische Eckdaten: Kerne, Takt und TDP

Angeführt wird das Auftakt-Angebot vom Flaggschiff Intel Core Ultra 9 285K, auf den sich im Bericht auch die meisten Angaben beziehen. Darunter positioniert Intel Core Ultra 7 285K und 285KF sowie Core Ultra 5 265K und 265KF – KF jeweils ohne aktive iGPU.

Der Aufbau aller fünf heute enthüllten Chips ist aber derselbe, es gibt nur Anpassungen bei den Kernen, dem Cache, dem Takt, der TDP und der Grafik. Die nachfolgende Tabelle enthält die Details.

Angebliche Modellpalette von Intel Arrow Lake-S alias Core Ultra 200
Modell TDP Kerne Basistakt
(P-Cores/E-Cores)
Turbotakt
(P-Cores/E-Cores)
TVB TBMT 3.0 TBT 2.0
Core Ultra 9 285K 125 W 8P + 16E(24) 3,7|3,2 5,4|4,6 5,7 5,6 5,5
Core Ultra 9 285 65 W 8P + 16E(24) 2,5|1,9 5,3|4,6 5,6 5,5 5,4
Core Ultra 9 285T 35 W 8P + 16E(24) 1,4|1,2 4,7|4,5 N/A 5,4 5,3
Core Ultra 7 265K 125 W 8P + 12E(20) 3,9|3,9 5,2|4,6 N/A 5,5 5,4
Core Ultra 7 265KF 125 W 8P + 12E(20) 3,9|3,9 5,2|4,6 N/A 5,5 5,4
Core Ultra 7 265 65 W 8P + 12E(20) 2,4|1,8 5,1|4,6 N/A 5,3 5,2
Core Ultra 7 265F 65 W 8P + 12E(20) 2,4|1,8 5,0|4,5 N/A 5,3 5,2
Core Ultra 7 265T 35 W 8P + 12E(20) 1,5|1,2 4,6|4,5 N/A 5,3 5,2
Core Ultra 5 245K 125 W 6P + 8E(14) 4,2|3,6 5,0|4,6 N/A N/A 5,2
Core Ultra 5 245KF 125 W 6P + 8E(14) 4,2|3,6 5,0|4,6 N/A N/A 5,2
Core Ultra 5 245 65 W 6P + 8E(14) - - - - -
Core Ultra 5 235 65 W 6P + 8E(14) 3,4|- - - - 5,0
Core Ultra 5 225 65 W 6P + 4E(10) 3,3|2,7 4,7|4,4 N/A N/A 4,9
Core Ultra 5 225F 65 W 6P + 4E(10) 3,3|2,7 4,7|4,4 N/A N/A 4,9
fett = erscheinen am 25. Oktober 2025, alle anderen unbestätigt
Alle Taktangaben in GHz
TVB = Thermal Velocity Boost (max. 2 Kerne)
TBMT 3.0 = Turbo Boost Max Technology 3.0 (max. 2 Kerne)
TBT 2.0 = Multi-Core-Turbo (alle Kerne)

Was direkt auffällt: Den zuletzt von Intel mit immer höheren Verbräuchen an die Spitze getriebenen maximalen Turbo-Takt von bis zu 6,2 GHz beim Core i9-14900KS (Test) erreicht Arrow Lake-S vorerst nicht, bei 5,7 GHz ist Schluss. Wie es sich mit dem Multi-Core-Turbo verhält, bleibt abzuwarten.

Die maximal von Intel zugelassene elektrische Leistung liegt mit Ausnahme des Core Ultra 5 auf dem Niveau der direkten Vorgänger. Was weiterhin gilt: Power Limit 1 (PL1, langfristig) und Power Limit 2 (PL2, kurzfristig) liegen in Default-Profil wieder auf demselben, höheren Niveau.

TDP, PL1, PL2 und Tau der 12. & 13. Generation Intel Core
CPU TDP PBP MTP
K-Modelle der Core Ultra Series 2
Core Ultra 9 285K 125 W 250 Watt
Core Ultra 7 265K(F) 250 Watt
Core Ultra 5 265K(F) 159 Watt
K-Modelle der 14. Gen Core
Core i9-14900KS 150 W 253 Watt
Core i9-14900K 125 W 253 Watt
Core i7-14700K 253 Watt
Core i5-14600K 181 Watt
PBP = Process Base Power (TDP), MTP = Maximum Turbo Power

Preise im Vergleich zum Vorgänger

Preislich positioniert Intel den Core Ultra 9 285K in den USA mit 589 USD vor Steuern auf dem Niveau des Core i9-14900K, die beiden kleineren Varianten jeweils etwas unterhalb des jeweiligen Serienvorgängers. UVP für Europa gibt es heute noch nicht.

Serie mit iGPU („K“) ohne iGPU („KF“)
Core Ultra 9 285K 589 USD
Core i9-14900K(F) 589 USD 564 USD
Core Ultra 7 265K 394 USD 379 USD
Core i7-14700K(F) 409 USD 389 USD
Core Ultra 7 265K 309 USD 294 USD
Core i5-14600K(F) 319 USD 294 USD

Die Benchmarks von Intel eingeordnet

Intel hat zur finalen Präsentation eigene Benchmark mit im Gepäck, die vor zwei Tagen bereits auf Basis einer Präsentation für den asiatischen Raum durchgestochen worden waren. Unabhängige Test werden erst zum Verkaufsstart am 24. Oktober 2024 erwartet. Zusammengefasst verspricht Intel:

  1. Flagship Gaming FPS (ultra efficient)
  2. Fastest Multithreading
Intel Core Ultra 200S series
Intel Core Ultra 200S series (Bild: Intel)

Herstellerbenchmarks sind wie immer mit Vorsicht zu genießen, aber mit den Erfahrungen der letzten Jahre lassen sich folgende erste Erkenntnisse daraus ableiten:

  1. Single-Core-Leistung: Mit 9 Prozent höherer IPC bei 300 MHz/500 MHz weniger Takt (vs. 14900K/14900KS) dürfte der 285K in dieser Disziplin knapp die Spitze im Konzern übernehmen und sich damit ein hartes Duell mit dem Ryzen 9 9950X liefern.
  2. Multi-Core-Leistung: Die neuen Kerne (Details weiter unten) scheinen den Verzicht auf Hyperthreading in der Tat auszugleichen, Intel selbst sieht sich vor Core i9-14900K und selbst Ryzen 9 9950X. Hier könnte es aber stark auf die Anwendung ankommen. Außerdem ist der Ryzen 9 9950X auf 200 Watt limitiert, während im Datenblatt des Core Ultra 9 285K 250 Watt stehen.
  3. Gaming-Leistung: Intel sagt zwar, dass man das Niveau des Core i9-14900K halten wird (und damit Ryzen 7000X3D knapp verfehlt), die präsentierten Daten geben das auch im Vergleich mit dem, was Intel im Vergleich zu 9950X und 7950X3D zeigt, aber nicht her. Außerdem kamen viele Titel mit Intels APO-Toolkit zum Einsatz, auf das nur Intels CPUs reagieren.

In unabhängigen Test könnte am 24. Oktober deshalb schnell zu Tage kommen, dass Arrow Lake in Spielen doch noch langsamer ist, vor allem eben, wenn APO-Titel nicht dabei sind, die das Bild in Intels Benchmarks nach oben ziehen.

So viel zu den wesentlichen Eckdaten, Preisen und der von Intel in Aussicht gestellten Leistung. Wer mehr über die Technologien hinter Intel Arrow Lake-S erfahren will, liest weiter.

Intel Arrow Lake-S im Details

Ein großes Ziel von Arrow Lake ist es, die zuletzt stetig zunehmende Leistungsaufnahme endlich wieder zu zügeln.

Verbrauch runter, AI rein

Nachdem Intel das Thema in den letzten Monaten rund um die instabilen Core-Prozessoren nicht nur sprichwörtlich quasi um die Ohren geflogen ist, war das auch höchste Zeit.

Intels Dilemma: Die Leistungsaufnahme kann nur so weit eingegrenzt werden, dass am Ende noch ein Performancezuwachs gegenüber dem vollkommen überzüchteten Raptor Lake auf der Habenseite steht – sonst lohnt sich ein Wechsel für viele Kunden nicht.

Ein Ansatz, um das bei möglichst niedrigem Verbrauch zu schaffen, sollen die neuen E-Cores sein, die bereits aus Intel Lunar Lake alias Core Ultra 200V (Test) bekannt sind.

ARL Press Briefing zur IFA 2024
ARL Press Briefing zur IFA 2024 (Bild: Intel)

Und natürlich soll Arrow Lake auch das Herzstück eines AI-PCs sein. Der dahinter verfolgte Ansatz verwirrt allerdings: Denn Microsofts Vorgaben an einen CoPilot+-PC werden nicht erfüllt, die NPU ist dafür zu klein und leistungsschwach. Dass die NPU in einem Deskto-PC an anderer Stelle zum Einsatz kommt und nicht eine dedizierte GPU mit viel mehr Leistung, ist allerdings fraglich. Das weiß auch Intel und entschied für den Desktop bewusst dafür, lieber Kerne zu liefern, statt die NPU auszubauen. Nicht mit AI zu werben, auch wenn es bei diesem Produkt kein Thema ist, geht aber offenkundig auch nicht.

Neue CPU-Kerne ohne Hyper-Threading

Arrow Lake wird auf die gleichen Prozessorkerne vertrauen, die das Unternehmen mit Lunar Lake vor kurzem eingeführt hat. Dabei behält Intel seine Stärke der hohen Single-Core-Leistung (IPC) vor allem bei den P-Cores alias Lion Cove bei, paart diese aber mit zusätzlich gesteigerter Multi-Core-Leistung durch viel mehr E-Cores vom Typ Skymont.

Unterm Strich sieht Intel einen Zuwachs gegenüber Raptor Lake-S von 9 Prozent im Single-Core-Betrieb (IPC) und 15 Prozent in Mehr-Kern-Szenarien. Und das, obwohl beim Flaggschiff Intel Core Ultra 9 285K insgesamt nur noch 24 statt 32 Threads wie beim Vorgänger Core i9-14900K zur Verfügung stehen.

P-Core in Arrow Lake (Bild: Intel)

Denn Hyper-Threading hat Intel nun auch im Desktop-Umfeld gestrichen. Was jedoch als einzigartige Umsetzung gefeiert und von Intel zum Teil sogar so ausgelegt wird, als hätten Gamer dies seit Jahren gefordert, hat aber wohl noch einen andern Hintergrund: Der Buschfunk vermutet, dass Intel es nur nicht geschafft hat, eine gute Umsetzung von SMT zu integrieren.

Platzbedarf, zu viel Energieverbrauch und andere Dinge werden unter anderem als Möglichkeit genannt. Dass es funktionieren kann, zeigt AMD, wo weiter auf SMT gebaut wird, auch in CPUs mit über 100 Kernen, und Intel wird es im Serverumfeld auch nicht abstoßen. Eventuell folgen Details dazu später.

Im Desktop-Umfeld sollen die neuen Skymont-E-Cores am Ende dafür sorgen, dass die Multi-Core-Leistung trotzdem nicht fällt. Sie bringen gegenüber dem Vorgänger 32 Prozent mehr Leistung aufs Parkett, in FP-Operationen sogar bis zu 72 Prozent. Das sieht auf den ersten Blick nach weniger aus als bei Lunar Lake, dort hatte Intel diese Kerne aber mit LPE-Cores in Meteor Lakes SoC-Tile verglichen, nicht mit klassischen E-Cores-Clustern, wie sie zuvor im Notebook und Desktop zuhause waren.

E-Core in Arrow Lake (Bild: Intel)

Die E-Cores sind damit auch in Arrow Lake der Star. Sie sind so gut, dass anfallende Aufgaben auch am Desktop-PC zuerst zu diesen geleitet, dann je nach Arbeitsaufwand aber auch ganz schnell zu den P-Cores verschoben werden. In Lunar Lake wird das auch so umgesetzt und es hilft, die Effizienz des Systems deutlich zu steigern, die der Test des Zenbook S 14 (UX5406) gezeigt hat.

Der CPU-Die wurde umgebaut

Anders als bei Lunar Lake sind P- und E-Cores in Arrow Lake wieder in einem Paket vereint. So haben auch die E-Cores wieder Zugriff auf den L3-Cache. Doch statt die P-Cores alle an einem Ende und die E-Cores am Ende zu clustern, hat Intel beide gemischt.

Auf Nachfrage, warum das so gehandhabt wird, nachdem es jahrelang zuvor anders umgesetzt wurde, erklärt Intel, dass dieser Ansatz unter anderem bei der Hitzeverteilung hilft. TSMCs N3B-Fertigung lässt einen ziemlich kleinen Chip entstehen, alle P-Cores dann noch einmal konzentriert an einem Punkt anzusiedeln, führe zu einem Hitze-Hotspot. Die Aufteilung wirkt dem entgegen.

Neuer Aufbau des CPU-Dies
Neuer Aufbau des CPU-Dies (Bild: Intel)

Der Aufbau bietet auch andere Vorteile, beispielsweise für einen entsprechenden Cut-Down. So lassen sich beispielsweise „unten“ zwei P-Cores und zwei E-Core-Cluster abschneiden, fertig ist ein 6P+8E-Die, so wie er für Alder Lake-H erwartet wird. Und auch im Einstieg ermöglicht dies einen kostengünstigeren Die und kleineren Chip, wenngleich unterhalb vom Core Ultra 5 zum Start noch nicht auf die neue Lösungen gesetzt wird. Was aber nicht bedeutet, dass es 2025 nicht doch noch so kommt.

DDR5-6400 mit JEDEC-Support via CU-DIMM

Arrow Lake wird beim Speicher in die nächste Geschwindigkeitsstufe vorrücken und ab Werk mit DDR5-6400 betrieben werden können. Diese Aussage ist aber mit einer Fußnote versehen, den DDR5-6400 gibt es bei der Nutzung von neuem CU-DIMM, der einen extra Taktgeber nutzt – bei klassischem Speicher, der den Speicher-Controller in der CPU mehr belastet, bleibt es langsamer: DDR5-5600 sind hier nach wie vor gesetzt. Auch ECC wird von CPU-Seite her unterstützt, im Desktop auf klassischen Boards aber vermutlich nicht aktiv sein – dafür wird es kleine Xeons geben, die das umsetzen.

Intel Core Ultra 200S series
Intel Core Ultra 200S series (Bild: Intel)

Auf Nachfrage erklärte Intel die offizielle Speicherbestückung im Detail:

  • DDR5-6400 gibts nur mit CUDIMMs und einem DIMM-Slot pro Kanal, also z.B. mit Boards, die nur zwei Slots generell haben
  • Mit UDIMMs und CUDIMMs gibts bei zwei Slots pro Kanal bis zu DDR5-5600, egal ob Single- oder Dual-Rank
  • Wenn vier Slots belegt sind, sinkt der offiziell supportete Speicher auf DDR5-4800 bei Single-Rank, DDR5-4400 bei Dual-Rank
Intel-Angaben zum Speichersupport von Arrow Lake-S

Sie sah zuletzt – auch bei AMD – in der Regel oft so aus und bezieht sich stets auf die JEDEC-Vorgaben, die mit unterschiedlichen Modulen, Rankings und bei wachsender Bestückung ganz anders ausfallen kann als vermutet. Was dann mit XMP/EXPO per „OC“ umgesetzt werden kann, steht auf einem ganz anderen Papier. Dass Intel parallel erklärt, dass DDR5-8000 der Sweet Spot ist, kommt also nicht von ungefähr.

Die regulären XMP-Module aus der klassischen UDIMM-Reihe, die den Großteil des Marktes ausmachen, gehören auch jetzt noch nicht zum alten Eisen.

Dass Intel mit dem Support für CU-DIMMs an höchster Stelle wirbt, wird dem Speicherstandard aber einen gehörigen Aufschwung geben. Es wäre nicht das erste Mal, dass Intels Support für einen neuen Speicher diesen in die Erfolgsspur führt.

GPU und NPU sind (alt)bekannt

Bei der iGPU und der NPU gibt es dann erst einmal Ernüchterung. Gegenüber Raptor Lake-S als letzten Desktop-Prozessor sind beide Einheiten „neu“, die NPU sogar vollständig. Aber für sich genommen neu sind sie nicht.

Lunar Lake (Core Ultra 200V) nutzt erstmals Xe2 alias „Battlemage“, Arrow Lake-H (Core Ultra 200 für Notebooks) wiederum Xe XMX und damit die Architektur, die auch in den Arc-Grafikkarten zum Einsatz kommt – aber Intel Arrow Lake-S für Desktop-PCs bleibt bei der allerersten Generation Xe ohne XMX-AI-Cores. Vier Xe-Cores nutzt die neue Desktop-Plattform und damit so viel wie zuletzt die Notebook-CPUs der Meteor-Lake-U-Serie (Core Ultra 100U).

Letztendlich ist ihre Leistung in Desktop-PCs aber quasi nie von Relevanz: Nutzer, die Grafikleistung benötigen, setzen auf eine separate Grafikkarte, alle anderen, kommen in der Regel auch mit der kleinen iGPU im Desktop-Einsatz zurecht.

Auch die NPU hat Intel von Meteor Lake, der ersten eigenen Architektur mit NPU, übernommen. Sie liefert dank höherer Taktraten dank höherer TDP jetzt 13 statt 11 TOPS. Für das Siegel „Copilot+-PC“ (> 40 TOPS NPU-Leistung) reicht das nicht. In diesem Punkt stehen Arrow Lake-S und AMD Ryzen 9000 ganz ohne NPU also beide vor verschlossener Tür.

Offensichtlich war es beiden am Ende nicht wichtig, in der finalen Pressemappe hat Intel die AI-Leistung nicht einmal eine Slide erhalten. Zur Vorschau unter NDA auf der IFA 2024 Ende August war das noch der Fall.

ARL Press Briefing zur IFA 2024 (Bild: Intel)

Im Pressegespräch zur finalen Vorstellung erklärte Intel überraschend deutlich, dass absichtlich keine größere NPU verbaut wurde, da im Desktop erst einmal Performance durch CPU-Kerne wichtiger ist und man dafür keinen Platz abgeben wollte.

Leicht angepasster SoC und I/O-Tile

Ebenfalls ein Erbe von Meteor Lake sind der SoC und der I/O-Tile, wobei es hier zum Teil stärkere Anpassungen für das Desktop-Segment gab. Im SoC-Chip entfallen die LPE-Kerne, der Fokus liegt auf der Display- und Media Engine. Nach wie vor kann sie AV1 de- und encodieren sowie multiple Displays über neueste Anschlüsse ansteuern.

ARL Press Briefing zur IFA 2024
ARL Press Briefing zur IFA 2024 (Bild: Intel)

Der I/O-Tile wiederum sorgt unter anderem für die PCIe-Lanes und Thunderbolt 4. Bei der Anzahl der PCIe-5.0-Lanes bleibt es bei 20, 16 für die Grafikkarte und vier für eine SSD. Thunderbolt 4 ist im Desktop ein Novum, denn nativ war Thunderbolt hier noch nie dabei. Auch WiFi 6E und LAN ist im I/O-Tile integriert.

ARL Press Briefing zur IFA 2024
ARL Press Briefing zur IFA 2024 (Bild: Intel)

Der Z890-Chipsatz erweitert die Konnektivität

Arrow Lake-S arbeitet letztlich auch immer mit einem Chipsatz zusammen, zum Start ist dies der Z890. Dieser erweitert die Anschlüsse der Plattform um sehr viele Möglichkeiten, echte Neuheiten sind hier aber nicht zu finden. Via Zusatzchips, die dann schlichtweg die PCIe-4.0-Lanes nutzen, kann so ziemlich alles verbaut werden. Neue Boards werden WiFi 7, noch schnelleres LAN und andere Dinge darüber realisieren.

ARL Press Briefing zur IFA 2024
ARL Press Briefing zur IFA 2024 (Bild: Intel)

Vier TSMC-Chips auf einem Intel-Base-Tile

Nach der überraschenden Abkündigung von Intel 20A ist auch Arrow Lake nach Lunar Lake nun quasi eine CPU von TSMC, bei der Intel nur das Stapeln auf das Foveros-Package mit der Base-Tile umsetzt. TSMC hingegen steuert vier Chips bei: CPU-Tile in N3B-Fertigung, GPU in N5P, SoC und I/O in N6. Ein Filler-Tile wird nötig, damit das Konstrukt am Ende rechteckig wird, sonst kommt es zu Problemen beim Anpressen des Heatspreaders und damit später auch des Kühlers.

ARL Press Briefing zur IFA 2024 (Bild: Intel)

Intel erklärt, dass der Aufbau so deutlich an Platz einspart. Inwiefern er am Ende Kosten spart, bleibt abzuwarten. Intel hat die Abkehr von 20A als nicht schlimm verkaufen wollen, schließlich kosten derartige Prozesse und die Entwicklung zur Serienreife mit hoher Ausbeute auch viel Geld. An den Aussagen gibt es dennoch berechtigte Zweifel, denn der einzige Gewinner ist auf absehbare Zeit erst einmal TSMC. Mit Intel 18A muss das Unternehmen dann zeigen, was da wirklich dran war und ist und dass der Intel-20A-Prozess sich doch noch ausgezahlt hat, weil er die Grundlage bildete – obwohl er selbst nie eine CPU abgeworfen hat.

Intel Thread Director aktualisiert

Der Thread Director, der sich seit Intel Alder Lake (12. Gen Core, 1. Architektur mit Hybrid-Ansatz) um das Scheduling kümmert, also Aufgaben den P- oder E-Kernen zuweist, wurde bei Arrow Lake überarbeitet und in Richtung Lunar Lakes Umsetzung gezogen. Auch in Alder Lake-S werden zuerst die E-Cores angesprochen, bei hoher Anforderung wird dann aber sofort auf die P-Cores gewechselt. Verbesserte Vorhersagen und Telemetrie sollen für eine bessere Klassifizierung der anstehenden Aufgaben und genauere Zuweisungen sorgen.

Aktualisierter Intel Thread Director (Bild: Intel)

Ergebnis: Mehr Leistung, weniger Verbrauch (?)

Am Ende steht laut Intel eine sehr hohe Leistung bei deutlich gesunkenem Energiebedarf. Dies beginnt bereits im Office-Umfeld. Ob es die beschriebene „Landmark Reduction in Power“ ist, sei einmal dahingestellt, schließlich hat Intel den Ausgangspunkt mit der höchsten Leistungsaufnahme auch erst selbst gesetzt.

ARL Press Briefing zur IFA 2024
ARL Press Briefing zur IFA 2024 (Bild: Intel)
Intel Core Ultra 200S series
Intel Core Ultra 200S series (Bild: Intel)

So oder so wird nun im Alltag und laut Intels Messungen weniger Energie benötigt, um am Ende mindestens das gleiche Ergebnis zu erhalten. Vor allem auch in Spielen wird dies sichtbar sein, hier kann ein komplettes System inklusive diskreter Grafikkarte je nach Titel auch mal 165 Watt einsparen.

Intel Core Ultra 200S series (Bild: Intel)

Overclocking mit viel mehr Spielraum

Auch das Thema Overclocking ist bei Intel nicht tot. Hier wurden durch den neuen Tile-Aufbau verschiedene Anpassungen umgesetzt. Im Kern heißt das: Da diese unterschiedlich takten können, können sie auch voneinander unabhängig übertaktet werden. Der neue BCLK von 16,67 MHz lässt kleinere Schritt zu.

Intel Core Ultra 200S series
Intel Core Ultra 200S series (Bild: Intel)

Die besten Ansatzpunkte für das relativ einfach Overclocking sind laut Intel natürlich als erstes der Speicher, dann die neuen E-Cores sowie die Taktraten zwischen den Tiles. Hier sollen sich schnell sichtbare Ergebnisse zeigen. Auch die XTU-Software wird wieder mit dabei sein, automatisches „AI-Overclocking“ inklusive.

Ersteindruck, Test am 24. Oktober

Intel Arrow Lake-S hat es geschafft, in zwei Wochen kommen mit Core Ultra 9 285K, Ultra 7 265K und Ultra 5 245K die ersten CPUs auf den Markt und lösen endlich die völlig überzüchtete Core-i-Serie respektive deren Architektur ab. Mitgeholfen hat Foundry-Konkurrent TSMC, der alle auf Intels Base-Tile gesetzten Chips fremdfertigt.

Die Anpassungen gegenüber Raptor Lake sind in Umfang und Anzahl enorm, das von Intel in Aussicht gestellt Ergebnis hält damit aber nicht mit. Ohne Frage ist das Komplettpaket ein Schritt in die richtige Richtung, doch wirken die Lösungen so wie AMDs kleine Ryzen 9000, die an die TDP-Kette gelegt wurden und wie Blei in den Regalen liegen, weil sie Kunden wenig Mehrwert liefern. Eine verbesserte Effizienz ist lobenswert und auch richtig, wenn am Ende aber keine Mehrleistung dabei rum kommt, dann wird es schwierig. Und auch dass Core Ultra 9 und Core Ultra 7 weiterhin mit bis zu 250 Watt spezifiziert sind, obwohl TSMCs aktuellster Prozess und die ganzen Innovationen aufgefahren werden, überrascht am Ende dann doch. Tests werden hier in zwei Wochen Klarheit schaffen und eventuell noch die ein oder andere Überraschung zu Tage fördern.

Wer auf Arrow Lake wechseln will, hat darüber hinaus mit zusätzlichen Kosten zu rechnen. Vor diese Herausforderung sah und sieht sich AMD mit Sockel AM5 gestellt und für Intel war die „lange“ Laufzeit von LGA 1700 hierbei nicht hilfreich. Um das Maximum an Leistung herauszuholen, werden darüber hinaus wohl auch noch CU-DIMMs, die es bisher nicht/kaum gibt, notwendig sein. Die Hürden sind also durchaus hoch, es wird nicht günstig zum Start. Dann werden die Argumente für die neue Plattform schnell dünn.

AI ist dabei sicherlich keines, auch wenn es das Unternehmen heute so verkauft respektive verkaufen muss, weil es ohne AI angeblich nicht geht – auch wenn es keinen Sinn ergibt in diesem Produkt und Intel deshalb auch keine größere NPU verbaut hat.

Was die drei K-Prozessoren letztlich wirklich können, wird ein Test zum Marktstart auf ComputerBase zeigen. Dieser ist für den 24. Oktober terminiert.

ComputerBase hat die Informationen von Intel im Rahmen eines Arrow-Lake-Events zur IFA 2024 und eines weiteren Online-Events Anfang Oktober unter NDA erhalten. Eine Einflussnahme des Herstellers oder eine Verpflichtung zur Berichterstattung bestand nicht. Die einzige Vorgabe aus dem NDA war der frühestmögliche Veröffentlichungszeitpunkt

Dieser Artikel war interessant, hilfreich oder beides? Die Redaktion freut sich über jede Unterstützung durch ComputerBase Pro und deaktivierte Werbeblocker. Mehr zum Thema Anzeigen auf ComputerBase.