News TSMC Symposium 2023: Drei weitere N3-Prozesse, N2 erhält BSPD und mehr Leistung

Volker

Ost 1
Teammitglied
Registriert
Juni 2001
Beiträge
18.403
Zum Auftakt des TSMC Symposium 2023 hat der Auftragshersteller Optimierungen und Weiterentwicklungen der Fertigungsprozesse dargelegt. Die Roadmap für die Neuerungen folgt dem zuletzt genutzten Schema: Auf die Basis-Version folgen alsbald optimierte Varianten für mehr Leistung. Bei dem Node N3 sind das N3E, N3P und N3X.

Zur News: TSMC Symposium 2023: Drei weitere N3-Prozesse, N2 erhält BSPD und mehr Leistung
 
  • Gefällt mir
Reaktionen: flo.murr, boxte30:Goas, schneeland und 5 andere
Man merkt einfach dass es immer schwerer wird die Nodes weiter zu verkleinern.

Auch TSMC kocht nur mit Wasser und auch wenn sie bisher ohne größere Probleme wie Samsung oder Intel ausgekommen sind können sie nicht zaubern. Bin gespannt ob Intel und Samsung das nutzen können und aufholen…

Aber es müssen ja auch nicht immer Riesen Schritte sein - Kleinvieh macht auch Mist - und jedes Jahr 5-10% make Effizienz summiert sich auch.

10% reduzierte Spannung wäre dann doch wieder eine Ansage.
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: flo.murr und nyster
danke für das Update und eine Gedächtniskorrektur, dachte PowerVia kommt bei Intel erst beim 2nd Gen 18A, aber das verwechsle ich grad mit High NA
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: Matthias B. V.
Interessant, Intel könnte also wieder mit dem 20A Prozess die Führung in der Fertigung übernehmen. Wäre vielleicht gar nicht so schlecht, wenn man die krassen Preiserhöhungen von TSMC in der nahen Vergangenheit berücksichtigt. Wenn Samsung jetzt auch wieder mitzieht, hätte man sogar so etwas wie eine Konkurrenzsituation in dem Bereich. Ach ja, man wird ja noch träumen dürfen...
 
Definitiv. Wichtiger wäre es mir dass Samsung nicht den Anschluss verliert und bei 3D Stacking und 3GAAP konkurrenzfähig herstellt…

Um Intel mache ich mir weniger Sorgen. Foveros und EMIB sind gut und man kann über eigene Produkte die Fabs auslasten.
 
Intel muss erstmal abliefern, aber bei dem Zeitplan von TSMC gibt es definitiv Chancen für Intel mit der kommenden GAA Generation TSMC einzuholen oder sogar zu überholen. Kommt ganz darauf an, wann 20A (oder noch wichtiger 18A als foundry service node) bereitsteht. Was aber immer schwieriger wird, sind Verbesserungen bei der density. TSMC N2 soll 1.15 density über N3E bringen während N3E über N5 1.3x bringen soll, das sind alles keine großen Sprünge mehr. Damals war eine Verdopplung nicht selten von einem node zum anderen.
 
irgendwann wird man wieder mehr hirnschmalz in trasistor-design, optimierungswerkzeuge, power routing, etc. steckenen müssen, denn die verkleinerung der strukturen wird immer schwieriger mit immer geringeren verbesserungen zu überproportional steigenden fertigungskosten.
insofern werden auch die großen chipschmieden mehr in ipc steigerungen, effiziente caches, pipeline design und ähnliches stecken müssen.

im cpu bereich bringt es dem durschnittsconsumer nichts mehr wenn er nun statt 16 kernen, gleich 24 oder 32 serviert bekommt. die allermeiste software im privatgebrauch ist nicht wirklich gut in mp-skalierung und wird es wahrscheinlich nie werden. auch im gaming ist hier nicht mehr sooo viel mehr rauszuholen. noch kleinteiligere workerthreads und async ops machen die nötige synchronisiereung im codeablauf nicht einfacher.

insofern bin ich tatsächlich gespannt woher die cpu's und gpu's der 2-3 generation von heute an ihre verbesserungen in effizienz/performance hernehmen werden....ob wir dann auch längere produktzyklen bekommen, bei denen einfach mehrere facelifts erfolgen bzw. modellpflege betrieben wird?
 
Doch, aber nix Neues. Alles im Zeitplan. Gleichen Ziele wie vor einem Jahr.
 
  • Gefällt mir
Reaktionen: v_ossi und schneeland
Der Sprung von N5 auf N3 dürfte deutlich kleiner ausfallen als N7->N5, von Samsung 8nm auf TSMC 5nm reden wir gar nicht, der Unterschied zwischen High-End Ampere und Ada ist nach wie vor krass.

Bin echt gespannt, ob AMD und nvidia mehr rausholen können als der reine Nodesprung vermuten lässt, ansonsten wird die nächste GPU-Generation wohl eher langweilig und teuer.
 
Intel ist momentan viele Jahre abgeschlagen hinten dran. Kein Meteor Lake im Desktop, sondern erst Arrow Lake in "Intel 4" (= Intel 7nm, wenn wir mal den Marketingquatsch von Intel beiseite lassen) vermutlich Ende 2024 oder sogar erst 2025.

Das sagt doch schon alles. Intel humpelt nach wie vor viele Jahre hinterher. AMD ist in Sachen Effizienz in erster Linie wegen des Zugangs zu viel moderneren Fertigungsprozessen in Führung. Davon kann Intel nur träumen und wird vermutlich selbst Ende 2024 oder in 2025 noch lange nicht dieselbe Stufe erreicht haben wie TSMC/AMD sie jetzt seit 2022 liefern.
Ich würde den Rückstand von Intel inzwischen locker auf 5 - 7 Jahre schätzen. Mindestens.
 
RogueSix schrieb:
Kein Meteor Lake im Desktop
Falsch. Meteor Lake kommt für Desktop.
RogueSix schrieb:
sondern erst Arrow Lake in "Intel 4"
Falsch. Arrow Lake kommt in 20A (und eventuell TSMC 3nm)
RogueSix schrieb:
(= Intel 7nm, wenn wir mal den Marketingquatsch von Intel beiseite lassen) vermutlich Ende 2024 oder sogar erst 2025.
Dann lass den Marketingquatsch auch bei TSMC weg. Das sind alles nur Marketingnummern. Intel hat seine nur an TSMC angepasst. Intel 7 ist vergleichbar mit TSMC 7nm/6nm sowie Intel 4 mit TSMC 5nm/4nm vergleichbar ist.

RogueSix schrieb:
Das sagt doch schon alles.

In erster Linie sagt das viel über dich aus: Deiner fehlenden Ahnung. So viele Fehler in einem Beitrag muss man erstmal hinbekommen.

RogueSix schrieb:
Intel humpelt nach wie vor viele Jahre hinterher. AMD ist in Sachen Effizienz in erster Linie wegen des Zugangs zu viel moderneren Fertigungsprozessen in Führung. Davon kann Intel nur träumen und wird vermutlich selbst Ende 2024 oder in 2025 noch lange nicht dieselbe Stufe erreicht haben wie TSMC/AMD sie jetzt seit 2022 liefern.
Ich würde den Rückstand von Intel inzwischen locker auf 5 - 7 Jahre schätzen. Mindestens.

GAA mischt die Karten neu. Auf dem hohen Ross ist Intel auch mal gewesen....bis sie den EUV Zug verschlafen haben. Zwar können wir nicht in die Zukunft schauen, doch ist Intel sehr zuversichtlich im Jahr 2025 die Führung zu übernehmen mit ihrer GAA Generation 20A und 18A.
 
  • Gefällt mir
Reaktionen: v_ossi
@mkl1

OK, ich habe mich beim Prozess für Arrow Lake vertan :), aber sonst stimmt alles. Es sollte offensichtlich sein, dass ich in diesem Forum hier vom HighEnd Desktop und nicht von so Low-Power-Gurken-Desktop-PCs für Sekretär*innen spreche.
Einen HighEnd MTL (i7/i9) als Nachfolger des RPL Refresh wird es -zumindest wenn die Leaks so stimmen- nicht geben, sondern oberhalb von 65W TDP erst wieder ein Arrow Lake Modell.

Kein i7, kein i9 bis Arrow Lake. Wenn das kein Armutszeugnis für die Fertigungssparte von Intel ist, was dann?
Und immer dieses Gesülze, dass GAA dann das Blatt wieder neu mischen wird... glaube ich erst, wenn ich es sehe. Meiner Ansicht nach ist TSMC da schlicht und ergreifend schon in komplett anderen Sphären als Intel.
TSMC = Bronzezeit. Intel = Sitzen noch auf dem Baum. So schaut es doch aus :lol: .

Solange in Taiwan nicht die Erde wackelt oder die PLA einfällt, ist TSMC erst mal weg... auf viele Jahre enteilt. Intel können froh sein, dass es den US Chips Act gibt, sonst könnten die ihre Fertigung gleich einstampfen oder versuchen zu verkaufen, aber wer will den museumsreifen Schrott schon haben?
 
@RogueSix Wie kann man eigentlich so selbstbewusst so einen Stuss labern.

Ja, Intel liegt momentan hinter TSMC, aber genau die Arroganz, die du hier an den Tag legst, hat Intel vor ~10 Jahren das Genick gebrochen und ihnen den aktuellen Rückstand eingebracht.
Und trotz Rückstand ist das ein Feld, das mit TSMC, Intel und Samsung nur 3 Firmen weltweit auf dem Niveau beackern. Das ist selbst beim nominell Schlechtesten in der Gruppe eine beeindruckende Leistung.

Und die
Low-Power-Gurken-Desktop-PCs für Sekretär*innen
von den du so abschätzig sprichst, reichen gefühlt 95% der Nutzer.
 
  • Gefällt mir
Reaktionen: IllusionOn
Zurück
Oben