News Intel 20A ist tot: Intel 18A ist wichtiger, Core Ultra 200 „Arrow Lake“ von TSMC

Volker schrieb:
Die Fabs haben im letzten Quartal knapp 3 Milliarden Miese gemacht, bei gleichem Umsatz von rund 4 Mrd wie zuvor. Also die verbrennen da pro Milliarde Umsatz direkt auch 750 Mio.
"The more you produce, the more you lose."

Das wäre das Gegenteil vom Jensen-Credo (The more you buy, the more you save) und es reimt sich dagegen^^
 
Intel ist bald tot, wenn sie keinen Ausweg aus der Misere finden...
 
Freiheraus schrieb:
"The more you produce, the more you lose."
Glaube kaum, dass man das so sagen kann. Das allermeiste werden Fixkosten und Investitionskosten sein, die da aktuell anfallen.

Gut möglich, dass sie bei 4 Mrd Umsatz 7 Mrd Ausgaben haben (=3 Mrd Verlust), aber bei hypothethischen 8 Mrd Umsatz nur 7,5 Mrd Ausgaben (=500 Mio Gewinn).
 
  • Gefällt mir
Reaktionen: Brrr
Sehe ich das richtig, dass der einzig neue produktive Prozess bei Intel die 7nm (Intel 4) Fertigung ist, mit dem man aber nur einen Teil der mobilen Meteor Lake CPUs herstellt? Das scheint mir nicht sonderlich viel, vor allem wenn man bedenkt wie weit 7nm eigentlich hinter der Zeit ist..

Wenn Intel 4 eigentlich 7nm ist, was wäre dann 18a? 3nm oder so?

Für bedenklich halte ich aber die Erfahrungen mit frühreren Prozessen. Ein paar Schaltkreise zu fertigen ist eine Sache, ein fertiges, solides Produkt ist eine völlig andere. Alleine das 10nm Debakel, wo man schon fertige aber schlechte Chips hatte, und dann nochmal Jahre brauchte Prozess zu bändigen, zeigt das Problem.
 
So wie es aussieht wird Arrow Lake dank 3nm wirklich gut.

Da muss AMD in 2025 aber schnell einen Refresh von Zen5 in 3nm bringen, damit die mit Intel wieder auf gleicher Fertigungsstufe stehen und auch ihren I/O-Die müssten die mal auf 4nm schrumpfen, damit auch der noch mal sparsamer wird und eine NPU bekommt und am besten dann auch das Packaging verbessern, vielleicht ja dann endlich die gleiche Packagingtechnik wie bei N31 und N32 mit den MCDs, die dann endlich in Idle sparsamer ist...
 
karamba schrieb:
Bedeutet, dass die Zahlen bei Intel lange rot sein werden, weil die Fabriken trotzdem Geld verschlingen. Bedeutet aber auch

Nur weil es wo nicht klappt heißt es nicht gleich alle Fabriken sind aus , die produzieren sich noch andere Produkte als nur ständig die neuste Generation .. wir haben ja nur die aktuelle CPUs im Kopf da werden sicher noch andere Chips gefertigt
 
Convert schrieb:
Da muss AMD in 2025 aber schnell einen Refresh von Zen5 in 3nm bringen, damit die mit Intel wieder auf gleicher Fertigungsstufe stehen und [...]
Du listest da relativ viele Schritte auf. Vieles davon wird nicht als Refresh für Zen 5 erwartet, sondern eher für Zen 6. Teile aber schon. Soweit ich die Gerüchte überblicke, sollen nächstes Jahr erstmal die Zen 5c-Chiplets für Turin Dense in N3 gefertigt werden, ebenso der IO/GPU/NPU-Die für Strix Halo. Das wären also in zwei wichtigen Märkten dann die Spitzenmodelle.

Was man nicht vergessen sollte: N3 und Advanced Packaging sind teuer. Es ist gut möglich, dass sich AMD signifikant günstigere Preise leisten kann als Intel und damit in Sachen Preis/Leistung die Nase vorne behält, auch wenn Arrow Lake jetzt Zen 5 erstmal schlagen sollte.
 
  • Gefällt mir
Reaktionen: Salutos
Volker schrieb:
Es gab ja vor 3 Jahren mal die Gerüchte, dass Intel und Apple die größten Kunden von TSMCs N3-Fertigung werden. Das ist jetzt dann wohl als Fakt anzusehen und zeigt, das manchmal selbst alte Gerüchte doch die Wahrheit sind: https://www.computerbase.de/2021-12/foundry-geruechte-intel-will-eigene-tsmc-n3-produktionslinien/
Daran musste ich auch denken. Trotzdem irgendwie komisch die Entscheidung. Gerade bei Servern schmerzt Intel aktuell der Fertigungsnachteil am meisten und da bleiben die bei ihrem eigenen Intel 3. Da hätten die doch die Desktop und die Mobile-CPUs auch noch in Intel 3 eine Generation fertigen können. Dank guten OEM-Verbindungen hätten die damit auch eine weitere Generation überstanden.
 
Cr4y schrieb:
Der Reuters-Artikel ist doch einfach dümmster Click-Bait, wenn ich es richtig verstehe. Die Broadcom-Leute haben JETZT(?) bei einem Testlauf festgestellt, dass der A18-Prozess "not yet viable to move to high-volume production" ist - ja kein Scheiß!? Der Prozess ist offiziell auch noch gut 6 (?) Monate vom Serienfertigungsstart entfernt.
Ich denke man sollte hier nicht nur Teile der Infos verwerten. Da steht auch, dass 20A tot ist. Wenn der Prozess noch nicht so weit ist, warum sollte man dann broadcom chips testen? Vielleicht, weil die bisher auf 20A gefertigt wurden oder werden sollten? Hältst Du die zeitlichen Zusammenhänge für unabhängige Zufälle? Ich nicht..
Wo Rauch ist, ist auch Feuer.. Bei Intel brennt es lichterloh... Sie haben es bis jetzt nur geschafft 2-3x den Flächenbrand zu ersticken...
Mal sehen wie lange das noch gut geht. Ich für meinen Teil kaufe AMD Aktien...

Aber, Intel wie Phönix aus der Asche ist ne Spekulation wert.
 
KönnerGönner schrieb:
Da steht auch, dass 20A tot ist. Wenn der Prozess noch nicht so weit ist, warum sollte man dann broadcom chips testen? Vielleicht, weil die bisher auf 20A gefertigt wurden oder werden sollten?
20A war niemals für Kunden gedacht, nur 18A. Und dass man jetzt schonmal Testwafer durch 18A durchschickt und das Fazit nicht lautet "das taugt gar nichts" sondern ist "für Massenproduktion noch nicht gut genug" ist eher positiv als negativ für Intel (denn es passt zum Zeitplan und von fundamentalen Problemen ist eben nicht die Rede), Reuters hat dem halt einen enorm negativen Spin gegeben.
 
  • Gefällt mir
Reaktionen: Mar1u5, Convert und eastcoast_pete
Ayo34 schrieb:
Bei TSMC und Samsung fallen auch ständig neue Dinge durch einen Test. Das ist soweit normal am Anfang. Normal passt man daraufhin den Prozess an bis man eine gute Rate hat. Schlimm wäre es nur, wenn man grundsätzlich kein Potenzial und keine Verbesserung als möglich sieht.
Diese EUV Knoten haben es in der Tat in sich. TSMC hatte letztes Jahr (2023) auch noch ziemliche Probleme, ihren originalen N3 Knoten mit guter Ausbeute zum Laufen zu bringen. Das führte auch dazu, daß Apple anstatt pro Wafer nur noch pro gute ICs bezahlte bzw bezahlen musste (Apple ist der #1 Kunde für TSMC, und wird auch so behandelt). Samsung VLSI hatte so große Probleme mit ihrem 3 nm GAA Prozess, daß der vorgesehene neue Exynos, der in dem Prozess gefertigt wird (werden soll) nicht in ausreichenden Stückzahlen bereitgestellt werden konnte, und Samsung jetzt allgemein Qualcomm's SD8 Gen4 in allen S25 Galaxy Modellen einsetzen wird. Und für ihre großen Android Tablets hat sich Samsung bei Mediatek mit Dimensity SoCs eingedeckt.
Zurück zu Intel: der 2 nm Knoten war von Anfang an als Übergang zu 18A geplant, und es macht viel Sinn, hier alle Energie und Fachkräfte in den 18 A Knoten zu stecken. Denn der muss gut werden und gute Massenfertigung erlauben, und ist in der Tat ein "do or die" für Intels Foundry Pläne. Der erste große Kunde hier ist wohl Broadcomm, und die waren bis jetzt nicht zufrieden mit der Ausbeute und Qualität der 18A Fertigung.
Die einzige gute Nachricht daran war, daß es zumindest bereits 18A Wafers mit ~ 40% Ausbeute gab; ab ~50% wird's interessant und nutzbar. Aber die > 10% sind sehr schwierig.
Ergänzung ()

DevPandi schrieb:
Wenn das mal so wäre, aber:

Aktuell wird in Intel 4 Meteor Lake gefertigt. Granite Rapdis und Sierra Forest sollten wohl in Intel 3 gefertigt werden. Problem an dieser Stelle ist, dass Intel zwar "viel" Zeigt, sich aber die Veröffentlichungen weiterhin verzögern und man aktuell eher mit 2025 damit rechnen sollte.

Intel 20A war bisher für Arrow Lake angedeutet und vermutet gewesen und wäre damit jetzt in diesem Jahr angelaufen. Intel hätte damit zeigen können, dass sie zu TSMC aufeholt haben. Intel streicht jetzt 20A ersatzlos, weil 18A zwar schon präsentiert wird, aber aktuell für kein Produkt genutzt werden wird.

Die beiden Vorzeigeprojekte Lunar Lake und Arrow Lake für 2024 sind damit jetzt quasi bis auf den Base Tile, auf den alles drauf gesetzt wird, Prozessoren, die bei TSMC gefertigt werden.

18A wird jetzt frühestens für Panther Lake genutzt werden. Panther Lake wiederum wird nachgesagt, dass es "Mobile-Only" ist und damit den Status von Meteor Lake einnimmt. Sollte sich das Bewahrheiten, dann wird 2024 und 2025 bei Intel mit Intel 3 für Server, TSMC N3 für Mobile und Client gearbeitet.

Da man bisher davon ausging, dass 20A und 18A "Verwandt" sind, war gedacht worden, das 20A eben noch stark auf die Intel-Tools ausgelegt ist, während 18A für die "allgemeineren" Tools gedacht ist.

Wir werden 18A also frühestens 2025 sehen, wenn überhaupt. Sollten sich die "Gerüchte" bewahrheiten, werden wir bei Intel 2025 weiterhin her TSMC erleben und damit bricht sich Intel zumindest bei der Fertigung das eigene Genick.
Ein scheinbar wichtiger Grund für die Entscheidung, jetzt 20A zu überspringen und alles auf 18A zu setzen war, daß der erste wichtige Großkunde für Intel 18A - Broadcomm - nicht zufrieden war mit der Ausbeute und Qualität bei den Wafers, die in der Nullserie rauskamen. Da Intels Pläne für Auftragsfertigung durch ihre
Foundries sehr davon abhängen, daß sie mindestens einen zufriedenen Großkunden vorweisen können, war die Entscheidung von Gelsinger schon die richtige. Daß das trotzdem schlecht aussieht - keine Frage.
 
Zuletzt bearbeitet:
eastcoast_pete schrieb:
Die einzige gute Nachricht daran war, daß es zumindest bereits 18A Wafers mit ~ 40% Ausbeute gab; ab ~50% wird's interessant und nutzbar. Aber die > 10% sind sehr schwierig.
d0 ist die defect density, nicht die Ausbeute.
Bedeutet, zu 40% befindet sich auf einer Fläche von 100mm² ein Defekt.
Bei einem 100mm² Die wären das also 60%, größere Dies hätten aber sehr schnell eine extrem viel schlechtere Ausbeute.
Außerdem hört man bei TSMC regelmäßig von d0<0.1, zumindest bis N4, von N3 weiß ich es nicht
 
  • Gefällt mir
Reaktionen: Xedos99 und eastcoast_pete
Weyoun schrieb:
AMD lässt doch auch extern von GlobalFoundries fertigen.
Aber doch nur in ganz alten Nodes. Glaube 14nm und größer. Oder hatte nicht GF bei IBM oder Samsung 12nm lizenziert?

Ich hoffe das Intel da noch die Kurve kriegt. Wir brauchen einfach Konkurrenz. Es kann nicht sein das nur TSMC den besten Node hat und der Rest 1-2 Nodes hinterher ist. Ist nur schlecht für uns Verbraucher. Außerdem so sehr ich auch AMD bevorzuge. Hoffe ich das Intel wieder besser abliefert damit AMD nicht noch auf dumme Gedanken kommt.
 
  • Gefällt mir
Reaktionen: Brrr
Convert schrieb:
Da muss AMD in 2025 aber schnell einen Refresh von Zen5 in 3nm bringen, damit die mit Intel wieder auf gleicher Fertigungsstufe stehen und auch ihren I/O-Die müssten die mal auf 4nm schrumpfen, damit auch der noch mal sparsamer wird und eine NPU bekommt und am besten dann auch das Packaging verbessern, vielleicht ja dann endlich die gleiche Packagingtechnik wie bei N31 und N32 mit den MCDs, die dann endlich in Idle sparsamer ist...
Die Zen5c Chiplets sind wohl in 3nm@TSMC geplant.
 
  • Gefällt mir
Reaktionen: ETI1120
Weyoun schrieb:
AMD lässt doch auch extern von GlobalFoundries fertigen.
?
AMD lässt vorallem bei TSMC Fertigen.
GF ist technisch schlicht nicht weit genug.
Das war ja das große Problem mit GF in der Vergangenheit.
 
rentex schrieb:
Intel ist bald tot, wenn sie keinen Ausweg aus der Misere finden...
Auch wenn das jetzt kein guter Grund ist: das wird schon deshalb nicht passieren weil Intel die letzte große Foundry in amerikanischer Hand ist. Und die USA haben ja auch aus strategischen Gründen zig Milliarden Dollar an Steuergeldern ausgegeben (der Chips Act), um zumindest etwas Unabhängigkeit von ausländischen Herstellern bei moderner und modernster Halbleiterfertigung zu haben. Taiwan, Südkorea sind zwar freundliche und verbündete Länder, aber eben auch geografisch sehr in Chinas Nähe, und die US Wirtschaft (und unsere) würde eine Riesenkrise kriegen, wenn Taiwan keine Chips mehr herstellen und liefern könnte. Was würde aus Apple, AMD, Broadcomm, Cisco, IBM, Microsoft, Qualcomm, WD usw werden, wenn sie keine Chips aus Taiwan (und/oder Südkorea) mehr beziehen könnten? Intels Foundry Services sind da Plan B.
 
  • Gefällt mir
Reaktionen: Brrr und rentex
Intel ist weit davon entfernt, zu "fallen".
Es sind nur wieder irgendwelche Großaktionäre die rumheulen, weil Sie sich dieses Jahr keine neue Luxusyacht kaufen können...

Aber hoffentlich haut das mit 18A hin. Der Westen muss wieder Technologieführer werden und es ist schlicht peinlich, wie alt irgend so ne Chinesische Sklaventreiberbude (TSMC) Intel & Co. aussehen lässt.
 
guggi4 schrieb:
d0 ist die defect density, nicht die Ausbeute.
Bedeutet, zu 40% befindet sich auf einer Fläche von 100mm² ein Defekt.
Bei einem 100mm² Die wären das also 60%, größere Dies hätten aber sehr schnell eine extrem viel schlechtere Ausbeute.
Außerdem hört man bei TSMC regelmäßig von d0<0.1, zumindest bis N4, von N3 weiß ich es nicht
Stimmt; daher werden viele neue Knoten mit (by Design/Transistorenzahl) eher kleinen ICs zuerst eingesetzt (getestet).
Die defect density bei TSMCs N3/N3b war in 2022/2023 immerhin noch so hoch, daß sie sich mit Apple auf eine zuvor unübliche Vergütung einigten, nämlich pro voll funktionsfähigem Chip und nicht mehr pro Wafer. N3E war dann ein vereinfachter Knoten mit deutlich höherer Ausbeute.
Bei Samsungs 3 nm GAA soll die Ausbeute für Exynos ICs zumindest in Q1 2024 noch bei unter 35% gelegen haben.
Und solche Zahlen kommen fast immer von Leaks, die Foundries selber halten sich da sehr bedeckt.
 
eastcoast_pete schrieb:
Auch wenn das jetzt kein guter Grund ist: das wird schon deshalb nicht passieren weil Intel die letzte große Foundry in amerikanischer Hand ist. Und die USA haben ja auch aus strategischen Gründen zig Milliarden Dollar an Steuergeldern ausgegeben (der Chips Act), um zumindest etwas Unabhängigkeit von ausländischen Herstellern bei moderner und modernster Halbleiterfertigung zu haben. Taiwan, Südkorea sind zwar freundliche und verbündete Länder, aber eben auch geografisch sehr in Chinas Nähe, und die US Wirtschaft (und unsere) würde eine Riesenkrise kriegen, wenn Taiwan keine Chips mehr herstellen und liefern könnte. Was würde aus Apple, AMD, Broadcomm, Cisco, IBM, Microsoft, Qualcomm, WD usw werden, wenn sie keine Chips aus Taiwan (und/oder Südkorea) mehr beziehen könnten? Intels Foundry Services sind da Plan B.
Das ist klar, nur der Preis wäre ziemlich hoch dafür, wenn Intel nicht bald die Kurve kriegt...
 
Zurück
Oben